JP2011103450A - Finfetsおよびその形成方法 - Google Patents

Finfetsおよびその形成方法 Download PDF

Info

Publication number
JP2011103450A
JP2011103450A JP2010221860A JP2010221860A JP2011103450A JP 2011103450 A JP2011103450 A JP 2011103450A JP 2010221860 A JP2010221860 A JP 2010221860A JP 2010221860 A JP2010221860 A JP 2010221860A JP 2011103450 A JP2011103450 A JP 2011103450A
Authority
JP
Japan
Prior art keywords
region
substrate
fin
channel body
fin channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010221860A
Other languages
English (en)
Other versions
JP5595856B2 (ja
Inventor
Li-Shyue Lai
理學 頼
Tsz-Mei Kwok
紫微 郭
Chih-Chieh Yeh
致▲かい▼ 葉
Hsingjen Wann
幸仁 萬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011103450A publication Critical patent/JP2011103450A/ja
Application granted granted Critical
Publication of JP5595856B2 publication Critical patent/JP5595856B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】フィン電界効果トランジスタのソース/ドレイン構造を提供する。
【解決手段】基板上のフィンチャネル本体110a、110b、フィンチャネル本体110a、110b、上に配置されたゲート電極115、およびフィンチャネル本体110a、110b、に隣接して配置され、どのフィン構造も実質的に含まない、少なくとも1つのソース/ドレイン(S/D)領域120a,120b及び125a,125bを含むフィン電界効果トランジスタ(FinFET)。
【選択図】図1

Description

本発明は、半導体デバイスに関し、特に、フィン電界効果トランジスタ(FinFETs)およびFinFETsを形成する方法に関するものである。
半導体集積回路(IC)産業は、急速な成長を遂げている。集積回路の材料および設計の技術的進歩は、各世代が前の世代より、より小型でより複雑な回路を有するICの世代が生み出されてきた。例えば、半導体産業は、メモリセルのサイズを熱烈に縮減するように努めている。用いられてきた1つの方策は、マルチプルゲートトランジスタ、別名FinFETsの使用を含む。
この開示は、添付の図面を参照して、次の詳細な説明から良く理解される。工業における標準実施に従って、種々の特徴が縮尺に描かれず、図示の目的のためだけに使用されていることを主張する。実際、種々の特徴の数や寸法は、議論の明確化のために、任意に増加または減少されてよい。
集積回路の例示的なFinFETの上面図を示す概略図である。 図1に示された集積回路100の断面線2A−2Aに沿った概略断面図である。 図1に示された集積回路100の断面線2B−2Bに沿った概略断面図である。 図1に示された集積回路100の断面線2C−2Cに沿った概略断面図である。 例示的なFinFETの応力対距離dのシミュレーションの結果を示す概略図である。 基板の一部および分離領域を含むもう1つの例示的なFinFETの拡大された概略断面図である。 図1に示されたFinFETを形成する例示的な方法を示す概略断面図である。 図1に示されたFinFETを形成する例示的な方法を示す概略断面図である。 図1に示されたFinFETを形成する例示的な方法を示す概略断面図である。 図1に示されたFinFETを形成する例示的な方法を示す概略断面図である。 例示的なFinFETを形成する例示的な方法を示す概略断面図である。
この開示は、添付の図面を参照して、次の詳細な説明から良く理解される。工業における標準実施に従って、種々の特徴が縮尺に描かれず、図示の目的のためだけに使用されていることを主張する。実際、種々の特徴の数や寸法は、議論の明確化のために、任意に増加または減少されてよい。
従来のFinFETデバイスは、半導体基板から***したシリコンフィンを用いて製造される。デバイスのチャネルは、フィンに形成され、ゲートはフィンの上(例えば周囲)、例えば、フィンの上および側壁に接触して提供される。チャネル(例えば、フィン)を囲うゲートは、三つの側面からチャネルを制御できるという点で有益である。ソース/ドレイン領域は、フィンの二つの端部に形成されている。
次の開示は、その開示の異なる特徴を実施するための、多くの異なる実施の形態または実施例を提供することがわかる。本開示を簡素化するために、複数の要素および複数の配列の特定の実施例が以下に述べられる。これらは単に実施例であり、これらに制限されるものではないことは勿論である。例えば、本説明の第2特徴の上方の、または第2特徴上の第1特徴の形成は、続いて、特徴が直接接触で形成される複数の実施の形態を含むことができ、且つ前記特徴が直接接触でないように、付加的な特徴が前記第1と第2特徴間に形成された複数の実施の形態を含むこともできる。また、本開示は、種々の実施例において、参照番号および/または文字を繰り返し用いている。この反復は、簡素化と明確さの目的のためであって、種々の実施の形態および/または議論された構成との間の関係を規定するものではない。
図1は、集積回路の例示的なFinFETの上面図を示す概略図である。図1では、集積回路100は、少なくとも1つのFinFET、例えばFinFET105aおよび105bを含むことができる。FinFET105aおよび105bのそれぞれは、基板101上にフィンチャネル本体(fin-channel body)、例えばフィンチャネル本体110aおよび110bをそれぞれ含むことができる。ゲート電極115は、フィンチャネル本体110aおよび110b上に配置されることができる。少なくとも1つのソース/ドレイン(S/D)領域、例えばS/D領域120a〜120bおよび125a〜125bは、フィンチャネル本体110aおよび110bに隣接してそれぞれ配置される。少なくとも1つのS/D領域120a〜120bは、どのフィン構造も実質的に含まない。
注意するのは、フィンチャネル本体110aおよび110bは、ゲート電極115の下方に位置されることである。フィンチャネル本体110aおよび110bは、FinFET105aおよび105bの上面図から見ることができない。フィンチャネル本体110aおよび110bは、図1の点線で示され、FinFET105aおよび105bにそれらの位置を表している。
いくつかの実施形態では、フィンチャネル本体110aは、フィン構造を有する本体を指し、単にS/D領域120aおよび120b間のチャネルを提供するように用いられる。いくつかの他の実施形態では、フィンチャネル本体110aは、単にゲート電極115によって覆われるフィン本体を含むことができる。また他の実施形態では、フィンチャネル本体110aは、単にゲート電極115およびゲート電極115の側壁上のスペーサ107aおよび107bによって覆われるフィン本体を含むことができる。
いくつかの実施形態では、基板101は、結晶、多結晶、または非結晶構造のシリコンまたはゲルマニウムを含む元素半導体、炭化ケイ素、ガリウムヒ素、ガリウムリン、リン化インジウム、ヒ化インジウム、またはアンチモン化インジウムを含む化合物半導体、シリコンゲルマニウム(SiGe)、ガリウムヒ素リン(GaAsP)、アルミニウムインジウムヒ素(AlInAs)、アルミニウムガリウムヒ素(AlGaAs)、ヒ化ガリウムインジウム(GaInAs)、リン化ガリウムインジウム(GaInP)、またはヒ化リン化インジウムガリウム(GaInAsP)を含む合金半導体、任意の他の好適な材料、またはその組み合わせを含むことができる。1つの実施形態では、合金半導体基板は、SiおよびGeの組成が1つの位置の1つの比率からもう1つの位置のもう1つの比率に変わる傾斜SiGeの特徴を有することができる。またもう1つの実施形態では、合金SiGeは、シリコン基板上に形成されることができる。もう1つの実施形態では、SiGe基板は、歪んでいる。また、半導体基板は、例えばシリコンオンインシュレーター(SOI)などの絶縁膜上に形成された半導体(semiconductor on insulator)、または薄膜トランジスタ(TFT)でもよい。いくつかの実施例では、半導体基板は、ドープされたエピ層または埋め込み層を含むことができる。他の実施例では、化合物半導体基板は、多層構造を有することができるか、または化合物半導体基板は、多層化合物半導体構造を含むことができる。
図1を参照下さい。分離構造103は、少なくとも1つの分離領域、例えば分離領域103a〜103cを含むことができる。分離構造103は、FinFETs105aおよび105bの周囲に配置され、FinFET105aをFinFET105bから電気的に分離する。分離構造103は、シャロートレンチアイソレーション(STI)構造、シリコンの局部酸化(local oxidation of silicon;LOCOS)構造、他の分離構造、またはその任意の組み合わせを含むことができる。
図1を参照下さい。スペーサ107aおよび107bは、ゲート電極115に隣接して配置されることができる。スペーサ107aおよび107bは、S/D領域120a、120b、125a、および125bをゲート電極115から望ましく間隔をあけて配置することができる。スペーサ107aと107bのそれぞれは、例えば、酸化物、窒化物、酸窒化物、他の誘電体材料、またはその任意の組み合わせなどの少なくとも1つの材料を含んでよい。
図2Aは、図1に示された集積回路100の断面線2A−2Aに沿った概略断面図である。図2Aでは、基板101は、少なくとも1つの部分、例えば、部分102aおよび102bを含むことができる。いくつかの実施形態では、部分102aは、分離領域103aおよび103b間に配置されることができる。ゲート誘電体130は、ゲート電極115の下方に配置されることができる。フィンチャネル本体110aおよび110b(図1に示されている)は、それぞれS/D領域120aおよび125aの後方にあり、S/D領域120aおよび125aによってブロックされる。
いくつかの実施形態では、ゲート誘電体130は、単一層または多層構造であることができる。多層構造のいくつかの実施形態では、ゲート誘電体130は、界面層および高k誘電体層を含むことができる。界面層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、他の誘電体材料、および/またはその組み合わせなどの誘電体材料を含むことができる。高k誘電体層は、HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、他の好適な高k誘電体材料、および/またはその組み合わせなどの高k誘電体材料を含むことができる。高k材料は、金属酸化物、金属窒化物、金属ケイ酸塩、遷移金属酸化物、遷移金属窒化物、遷移金属ケイ酸塩、金属酸窒化物、金属アルミネート、ケイ酸ジルコニウム、アルミン酸ジルコニウム、(zirconium aluminate)、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、酸化ジルコニウム、酸化チタン、酸化アルミニウム、二酸化ハフニウムアルミナ(hafnium dioxide-alumina;HfO2-Al2O3)合金、他の好適な材料、および/またはその組み合わせからさらに選択されることができる。
いくつかの実施形態では、ゲート電極115は、ポリシリコン、シリコンゲルマニウム、例えばアルミニウム(Al)、モリブデン(Mo)、銅(Cu)、タングステン(W)、チタン(Ti)、タンタル(Ta)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケルシリサイド(NiSi)、ケイ化コバルト(CoSi)、当技術分野で周知の他の好適な導電材料、またはその組み合わせの金属化合物を含む金属材料を含むことができる。他の実施形態では、ゲート電極115は、金属層上のポリシリコン層を含むことができる。また、他の実施形態では、ゲート電極115は、金属ゲートのN型金属の仕事関数またはP型金属の仕事関数を提供する仕事関数金属層を含むことができる。P型仕事関数材料は、ルテニウム、パラジウム、白金、コバルト、ニッケル、および導電金属酸化物、および/または他の好適な材料などの組成物を含む。N型金属材料は、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物(例えば、炭化ハフニウム、炭化ジルコン、炭化チタン、炭化アルミニウム)、アルミナイド、および/または他の好適な材料などの組成物を含む。
いくつかの実施形態では、S/D領域120aおよび125aのそれぞれは、エピタキシャル成長領域、例えば、エピタキシャル成長領域121および126をそれぞれ含むことができる。エピタキシャル成長領域121は、基板101の部分102a上に配置されることができる。エピタキシャル成長領域121および部分102aは、界面104を有することができる。またいくつかの実施形態では、界面104の中心領域は、分離領域103aの表面106と実質的に同じ高さにあることができる。もう1つの実施形態では、界面104の中心領域は、分離領域103aの表面106より低いことができる。また他の実施形態では、界面104の中心領域は、距離“d”で分離領域103aの表面106と間隔を開けられることができる。また他の実施形態では、界面104の中心領域は、実質的に平坦であることができる。
いくつかの実施形態では、エピタキシャル成長領域121および123は、ドーパントを含むことができる。N型FinFETを形成するいくつかの実施形態では、エピタキシャル成長領域121および123は、ヒ素(As)、リン(P)、他のV族元素、またはその任意の組み合わせなどのドーパントを有することができる。P型FinFETを形成するいくつかの実施形態では、エピタキシャル成長領域121および123は、ホウ素(B)、他のIII族元素、またはその任意の組み合わせなどのドーパントを有することができる。いくつかの実施形態では、エピタキシャル成長領域121および123は、多層構造、例えば、2層または3層構造を含むことができる。例えば、2層構造は、シリコンキャップ層の下方のSiGe層を含むことができる。
いくつかの実施形態では、FinFET110aは、エピタキシャル成長領域121および126上に配置されたケイ化物構造(図示せず)を含むことができる。ケイ化物構造は、ニッケルシリサイド(NiSi)、ケイ化ニッケル−白金(NiPtSi)、ケイ化ニッケル−白金−ゲルマニウム(NiPtGeSi)、ケイ化ニッケル−ゲルマニウム(NiGeSi)、ケイ化イットリウム(YbSi)、ケイ化白金(PtSi)、ケイ化イリジウム(IrSi)、ケイ化エルビウム(ErSi)、ケイ化コバルト(CoSi)、他の好適な材料、および/またはその組み合わせなどの材料を含んでよい。
図2Bは、図1に示された集積回路100の断面線2B−2Bに沿った概略断面図である。図2Bでは、基板101は、少なくとも1つの部分、例えば部分102cと102dを含むことができる。フィンチャネル本体110aは、部分102c上に配置されることができる。フィンチャネル本体110aは、高さ“h”を有することができる。部分102cは、分離領域103aおよび103b間に配置されることができる。部分102cは、部分102a(図2Aに示される)と接続していることができる。いくつかの実施形態では、フィンチャネル本体110aおよび部分102cは、同じ材料、例えばシリコンを有することができる。他の実施形態では、フィンチャネル本体110aおよび部分102cは、異なる材料、例えばSiGeおよびSiをそれぞれ有することができる。
図2Cは、図1に示された集積回路100の断面線2C−2Cに沿った概略断面図である。図2Cでは、エピタキシャル成長領域121および123は、基板101の部分102aおよび102e上にそれぞれ配置されることができる。フィンチャネル本体110aは、基板101の部分102c上に配置されることができる。図に示されたように、いくつかの実施形態では、分離領域103の界面104および表面106は、距離“d”を有することができる。フィンチャネル本体110aは、高さ“h”を有することができる。いくつかの実施形態では、距離“d”と高さ“h”の比率(d/h)は、約10またはそれ以下であることができる。少なくとも1つの実施形態では、比率(d/h)は、約1である。
図2Cを参照下さい。エピタキシャル成長領域121および123は、応力、例えば圧縮応力または引張応力をフィンチャネル本体110aに提供することができる。圧縮応力または引張応力を受けたフィンチャネル本体110aは、FinFET105aに望ましい電子移動度または正孔移動度を提供することができる。圧縮応力を提供するいくつかの実施例では、フィンチャネル本体110aは、Si材料を有することができ、エピタキシャル成長領域121および123は、SiGe材料を有することができる。圧縮応力を提供する他の実施例では、フィンチャネル本体110aおよびエピタキシャル成長領域121および123は、SiGe材料を有することができる。エピタキシャル成長領域121および123は、フィンチャネル本体110aのゲルマニウム濃度より高いゲルマニウム濃度を有することができる。
引張応力を提供するいくつかの実施例では、フィンチャネル本体110aは、Si材料を有することができ、エピタキシャル成長領域121および123は、SiC材料を有することができる。引張応力を提供する他の実施例では、フィンチャネル本体110aおよびエピタキシャル成長領域121および123は、SiC材料を有することができる。エピタキシャル成長領域121および123は、フィンチャネル本体110aの炭素濃度より高い炭素濃度を有することができる。
図3は、いくつかの実施形態に基づいた例示的なFinFETの応力対距離dのシミュレーションの結果を示す概略図である。図3では、垂直軸は、フィンチャネル本体に加えられた圧力を示し、水平軸は、距離“d”を示している。シミュレーションでは、フィンチャネル本体110aは、約40nmの高さ“h”を有し、Si材料を有する。エピタキシャル成長領域121および123は、約36atomic%(原子比)のゲルマニウム濃度を有するSiGe材料を有することができる。エピタキシャルシリコン層(図示されていない)は、エピタキシャル成長領域121および123のそれぞれの上に形成される。曲線Aは、FinFET105aがゲートファーストプロセスによって形成されるのを示している。曲線Bは、FinFET105aがゲートラストプロセスによって形成されるのを示している。
図3を再度参照下さい。いくつかの実施形態では、距離“d”は、約0である。界面104の中心領域は、分離領域103aの表面106と実質的に同じ高さにある。約−400Mpaおよび−700Mpaの応力は、ゲートファーストプロセスおよびゲートラストプロセスによってそれぞれ形成されたFinFET105aのフィンチャネル本体110aに加えられることができる。距離“d”を増すことで、応力は、ゲートファーストプロセスおよびゲートラストプロセスによって形成されたFinFET105aのフィンチャネル本体110aに対して増加される。他の実施形態では、距離“d”が高さ“h”と実質的に同じか、または高さ“h”より大きい場合、FinFET105aのフィンチャネル本体110aに加えられる応力の増加は遅くなり得る。上述より、エピタキシャル成長領域121および123は、任意のフィン構造を含まない。エピタキシャル成長領域121および123の量は、FinFET105aのフィンチャネル本体110aに望ましい応力を提供することができる。
図4は、基板の一部および分離領域を含むもう1つの例示的なFinFETの拡大された概略断面図である。図2Aの中の要素と同じである図4の要素は、300を加えた同じ参照番号によって示される。いくつかの実施形態では、分離領域403aは、分離領域403aの表面406が分離領域403aおよび基板401の部分402a間の界面409と交差する角408を有することができる。基板401の部分402aは、基板401の部分402aの表面404が分離領域403aおよび基板401の部分402a間の界面409と交差する先端411を有することができる。角408は、先端411に実質的に隣接する。いくつかの実施例では、エピタキシャル成長領域421を形成するプロセスは、水素含有環境下でのリフロープロセスを含むことができる。リフロープロセスは、表面404の中心部分を平坦にすることができる。
角408が先端411に実質的に隣接する場合、エピタキシャル成長領域421の望ましい量は、基板401の部分402aから形成されることができる。エピタキシャル成長領域421の望ましい量は、FinFETのフィンチャネル本体に望ましい応力を提供することができる。エピタキシャル成長領域421の量は、ソース/ドレイン領域の抵抗も減少することができる。いくつかの実施例では、インサイチュ(in-Situ)プロセスによってエピタキシャル成長領域421に加えられたドーパントは、ソース/ドレイン領域の抵抗を更に減少することができる。
図5A〜図5Dは、図1の断面線2C−2Cに沿った、FinFETを形成する例示的な方法を示す概略断面図である。図5Aでは、分離構造103は、基板101内および/または基板101上に形成されることができる。フィン135は、基板101上に形成されることができる。フィン135は、高さ“h”を有することができる。ゲート誘電体130およびゲート電極115は、フィン135上に形成されることができる。ハードマスク層140は、ゲート電極115上に形成されることができる。スペーサ層107は、フィン135、ハードマスク層140、およびゲート電極115およびゲート誘電体130の側壁上に実質的に共形して形成されることができる。分離構造103、ゲート誘電体130、ゲート電極115、スペーサ層107、フィン135、および/またはハードマスク層140は、例えば堆積プロセス、エッチプロセス、洗浄プロセス、リソグラフィプロセス、および/またはその任意の組み合わせを含むプロセスによって形成されることができる。
図5Bを参照下さい。スペーサ層107の部分(図5Aに図示)は、スペーサ層107a〜107dを形成するために除去されることができる。スペーサ層107aおよび107bは、ゲート電極115の側壁上に形成されることができる。スペーサ層107cおよび107dは、フィン135の側壁上に形成されることができる。いくつかの実施例では、スペーサ層107aおよび107bは、ゲート電極115の側壁からのエピタキシャル成長に起因する急成長(mushroom effect)を防ぐためにゲート電極115を覆うことができる。分離構造103、ゲート誘電体130、ゲート電極115、スペーサ107aおよび107b、フィン135、および/またはハードマスク層140は、例えば堆積プロセス、エッチプロセス、洗浄プロセス、リソグラフィプロセス、および/またはその任意の組み合わせを含むプロセスによって形成されることができる。
図5Cを参照下さい。除去プロセス145は、基板101の部分102aの表面145aおよび102eの表面145bを露出し、フィンチャネル本体110aを規定するために、フィン135の少なくとも一部を除去することができる。除去プロセス145は、スペーサ層107cおよび107dを除去することもできる。いくつかの実施形態では、除去プロセス145は、フィン135の部分を実質的に除去することで露出された表面145aの中心領域が分離構造103の表面106と実質的に同じ高さにあることができる。他の実施例では、除去プロセス145は、基板101の部分を除去することで露出された表面145aの中心領域が分離構造103の表面106の下方にあることができる。距離“d”は、露出された表面145aおよび分離構造103の表面106の間に定義されることができる。距離“d”と高さ“h”の比率(d/h)は、約10またはそれ以下であることができる。少なくとも1つの実施形態では、比率(d/h)は、約1であることができる。
いくつかの実施形態では、図5A〜5Cに関連した上述のプロセスのステップは、フィンチャネル本体145aを基板101上に形成するプロセスおよびゲート電極115をフィンチャネル本体145a上に形成するプロセス(図6に示されるプロセス610および620)となることができる。他の実施形態では、プロセス610は、フィンチャネル本体145aを形成する、1つまたは1つ以上の従来の半導体プロセスのステップを含むことができる。また他の実施形態では、図5A〜5Cに関連した上述の1つまたは1つ以上のプロセスのステップは、省かれ、プロセス610および620を行うことができる。
図5Dを参照下さい。少なくとも1つのソース/ドレイン(S/D)領域、例えばS/D領域120aおよび120bは、フィンチャネル本体110aに隣接して形成されることができる。いくつかの実施形態では、S/D領域120aおよび120bの形成は、基板101の部分102aの露出された表面145aおよび部分102eの露出された表面145bから、エピタキシャル成長領域121および123のエピタキシャル成長をそれぞれ含むことができる。いくつかの実施形態では、エピタキシャル成長領域121および123のそれぞれは、(100)ファセット有することができる。
いくつかの実施形態では、図5A〜図5Cに関連した上述のプロセスのステップは、フィンチャネル本体に隣接した、少なくとも1つのソース/ドレイン(S/D)領域を形成するプロセスとなることができ、少なくとも1つのS/D領域は、どのフィン構造も実質的に含まない(図6に示されたプロセス630)。
いくつかの実施形態では、FinFET100の形成方法は、S/D領域120aおよび120b内にドーパントを注入するステップを含む。Nチャネルメモリセルを形成する実施形態には、S/D領域120aおよび120bは、ヒ素(As)、リン(P)、他のV族元素、またはその組み合わせなどのドーパントを有することができる。
他の実施形態では、FinFET100の形成方法は、エピタキシャル成長領域121および123の少なくとも一部をサリサイド化(salicidating)するステップを含むことができる。エピタキシャル成長領域121および123のケイ化物は、望ましい導電率を提供することができる。ケイ化物は、ニッケルシリサイド(NiSi)、ケイ化ニッケル−白金(NiPtSi)、ケイ化ニッケル−白金−ゲルマニウム(NiPtGeSi)、ケイ化ニッケル−ゲルマニウム(NiGeSi)、ケイ化イットリウム(YbSi)、ケイ化白金(PtSi)、ケイ化イリジウム(IrSi)、ケイ化エルビウム(ErSi)、ケイ化コバルト(CoSi)、他の好適な材料、および/またはその組み合わせなどの材料を含んでよい。ケイ化物を作製するのに用いられる材料は、スパッタリングおよび蒸着などの物理気相成長(PVD)、めっき、プラズマCVD(PECVD)、常圧CVD(APCVD)、低圧CVD(LPCVD)、高密度プラズマCVD(HDPCVD)、および原子層CVD(ALCVD)などの化学気相成長法、他の好適な成長法、および/またはその組み合わせを用いて堆積されることができる。堆積後、サリサイド化プロセスは、特定材料(specific material)または材料(materials)に基づいて選ばれた高温下で、堆積した材料およびドープ領域の間での反応を続けることができる。これは、アニーリングとも呼ばれ、急速熱処理(rapid thermal process;RTP)を含むことができる。反応したケイ化物は、単一ステップのRTPまたは複数ステップのRTPを必要とする可能性がある。
いくつかの実施形態では、FinFET100の形成方法は、エピタキシャル層(図示されていない)、例えば、シリコンエピタキシャル層を各エピタキシャル成長領域121および123上に形成するステップを含むことができる。シリコンエピタキシャル層の形成後、前記方法は、エピタキシャル層の少なくとも一部をサリサイド化するステップを含むことができる。シリコンエピタキシャル層から形成されたケイ化物は、ケイ化物の欠陥を望ましく低減することができる。ケイ化物の望ましい伝導率は達成することができる。
他の実施例では、FinFET100の形成方法は、基板101の一部を除去するエッチプロセス(図示されていない)を含むことができる。エッチプロセスは、例えば、ドライエッチ、ウェットエッチ、および/またはその任意の組み合わせを含むことができる。エッチプロセスは、図2Bに関連した上述の除去プロセス145の後、および/または図2Cに関連した上述のエピタキシャル成長領域121および123を形成する前に行われることができる。いくつかの実施例では、除去プロセス145の後、分離構造103の上部角は、基板101の部分102aの先端より高いことができる。エッチプロセスは、基板101の部分を除去することで、図4に関連した上述のように分離構造103の角が基板101の部分102aの先端に実質的に隣接するようにする。実質的に同じ高さの角と先端は、エピタキシャル成長領域121および123の望ましい量を露光した表面145aおよび145bからそれぞれ形成されるようにすることができる。エピタキシャル成長領域121および123の望ましい量は、望ましい応力をフィンチャネル本体110aに提供することができる。
また、他の実施形態では、FinFET100の形成方法は、基板101の露光した表面145aおよび145bをリフローするプロセスを含み、基板の露光した表面145aおよび145bの中心領域が実質的に平坦になることができる。露光した表面145aおよび145bの実質的に平坦な中心領域は、エピタキシャル成長領域121および123を露光した表面145aおよび145bからそれぞれ望ましく形成されるようにすることができる。いくつかの実施形態では、露光した表面145aおよび145bをリフローするプロセスは、水素含有環境下で、30分間、約600℃〜800℃の間の処理温度で実行されることができる。
いくつかの実施形態では、少なくとも1つの誘電体構造(図示されていない)は、基板上に形成されることができる。誘電体構造は、酸化物、窒化物、酸窒化物、低k誘電体材料、超低k誘電体材料、またはその任意の組み合わせなどの材料を含んでよい。誘電体構造は、例えば、CVDプロセス、HDP CVDプロセス、高アスペクト比プロセス(HARP)、スピンコーティングプロセス、他の堆積プロセス、および/またはその任意の組み合わせによって形成されることができる。
いくつかの実施形態では、接触プラグ、ビアプラグ、金属領域、および/または金属線は、相互接続用に誘電体構造内に形成されることができる。接触プラグ、ビアプラグ、金属領域、および/または金属線は、タングステン(W)、アルミニウム(Al)、銅(Cu)、チタン(Ti)、タンタル(Ta)、窒化チタン(Tin)、窒化タンタル(TaN)、ニッケルシリサイド(NiSi)、ケイ化コバルト(CoSi)、他の好適な導電材料、および/またはその組み合わせなどの材料を含むことができる。接触プラグ、ビアプラグ、金属領域、および/または金属線は、堆積、フォトリソグラフィー、およびエッチングプロセス、および/またはその組み合わせなど、任意の好適なプロセスによって形成されることができる。注意するのは、図5A〜図5Dに関連した上述の方法は、単に範例にすぎない。前記方法は、ゲートファーストプロセスまたはゲートラストプロセスであることができる。本発明の範例は、これに限定されるものではない。
いくつかの実施形態では、FinFET105aおよび/または105bは、プリント配線板またはプリント回路板(PCB)に物理的かつ電気的に接続されることができるパッケージ内に形成され、電子アセンブリを形成することができる。電子アセンブリは、コンピュータ、無線通信デバイス、コンピュータ周辺機器、娯楽機器などの電子システムの一部であることができる。
いくつかの実施形態では、集積回路100を含むシステムは、単一のIC、いわゆるシステムオンチップ(SOC)または集積回路システム(system on integrated circuit ; SOIC)デバイスに全システムを提供できる。これらのSOCデバイスは、単一の集積回路に、例えば、携帯電話、PDA、デジタルVCR、デジタルカムコーダー、デジタルカメラ、MP3プレーヤーなどを実行するために必要な全ての回路を提供することができる。
以上、当業者が本開示の態様をより理解できるように幾つかの実施の形態特徴を概説した。当業者は、本開示を、ここで採用された実施の形態の、同じ目的を実行し実行しおよび/または同じ利点を達成するために他のプロセスおよび構造を設計又は改変するための基礎として、容易に使用できることが分かる。本開示の精神及び範囲を逸脱しない限りにおいては、当業者であればそのような等価な構成を達成することが可能であり、当業者は、本開示の精神および範囲を逸脱せずに、ここで種々の変更、代替、および改変をするだろう。

Claims (10)

  1. 基板上のフィンチャネル本体と、
    前記フィンチャネル本体上に配置されたゲート電極と、
    前記フィンチャネル本体に隣接して配置され、どのフィン構造も実質的に含まない、少なくとも1つのソース/ドレイン(S/D)領域を有するフィン電界効果トランジスタ(FinFET)。
  2. 前記基板は、第1分離領域および第2分離領域の間の部分を有し、前記少なくとも1つのS/D領域は、エピタキシャル成長領域を含み、前記エピタキシャル成長領域は、前記基板の前記部分上に配置される請求項1に記載のFinFET。
  3. 前記エピタキシャル成長領域および前記基板の前記部分は、界面を有し、前記界面の中心領域は、前記第1分離領域の表面の下方にあり、かつ前記界面の前記中心領域および前記第1分離領域の前記表面間の距離は、前記フィンチャネル本体の高さと実質的に同じである請求項2に記載のFinFET。
  4. 前記界面の前記中心領域は、実質的に平坦である請求項3に記載のFinFET。
  5. 前記第1分離領域は、前記第1分離領域の前記表面が前記第1分離領域および前記基板の前記部分の間の界面と交差する角を有し、前記基板の前記部分は、前記基板の前記部分の表面が前記第1分離領域および前記基板の前記部分間の界面と交差する先端を有し、前記角は、前記先端に実質的に隣接する請求項2に記載のFinFET。
  6. 基板上にフィンチャネル本体を形成し、
    前記フィンチャネル本体上にゲート電極を形成し、
    前記フィンチャネル本体に隣接し、どのフィン構造も実質的に含まない、少なくとも1つのソース/ドレイン(S/D)領域を形成するフィン電界効果トランジスタ(FinFET)を形成するための方法。
  7. 前記フィンチャネル本体の形成は、
    前記基板上にフィンを形成するステップと、
    前記フィンの少なくとも1つの端部を除去し、分離構造によって囲まれた前記基板の部分の表面を露出してフィンチャネル本体を形成するステップを有する請求項6に記載の方法。
  8. 前記基板の部分を除去するステップを更に有し、前記基板の前記部分の前記露出した表面の中心領域は、前記分離構造の表面の下方にあり、前記基板の前記露出した表面の前記中心領域および前記分離構造の前記表面間の距離は、前記フィンチャネル本体の高さと実質的に同じである請求項7に記載の方法。
  9. 前記少なくとも1つのS/D領域の形成は、前記基板の前記部分の前記露出した表面から前記少なくとも1つのS/D領域をエピタキシャル成長させるステップを有する請求項7に記載の方法。
  10. 前記エピタキシャル成長領域上にエピタキシャル層を形成するステップと、
    少なくとも1つの前記エピタキシャル層をサリサイド化するステップをさらに有する請求項9に記載の方法。
JP2010221860A 2009-10-01 2010-09-30 Finfetsおよびその形成方法 Active JP5595856B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US24775609P 2009-10-01 2009-10-01
US61/247,756 2009-10-01
US12/761,686 2010-04-16
US12/761,686 US8264021B2 (en) 2009-10-01 2010-04-16 Finfets and methods for forming the same

Publications (2)

Publication Number Publication Date
JP2011103450A true JP2011103450A (ja) 2011-05-26
JP5595856B2 JP5595856B2 (ja) 2014-09-24

Family

ID=43822531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010221860A Active JP5595856B2 (ja) 2009-10-01 2010-09-30 Finfetsおよびその形成方法

Country Status (5)

Country Link
US (1) US8264021B2 (ja)
JP (1) JP5595856B2 (ja)
KR (1) KR101217327B1 (ja)
CN (1) CN102034871B (ja)
TW (1) TWI456760B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101317609B1 (ko) 2012-01-09 2013-10-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 및 그 형성 방법
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
JP2015170812A (ja) * 2014-03-10 2015-09-28 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR20160056693A (ko) * 2014-11-12 2016-05-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR20160063213A (ko) * 2014-11-26 2016-06-03 삼성전자주식회사 반도체 장치의 제조 방법
JP2017520114A (ja) * 2014-06-27 2017-07-20 インテル・コーポレーション 非線形フィンベースのデバイス
KR20190023759A (ko) * 2017-08-30 2019-03-08 삼성전자주식회사 반도체 장치
JP2019530223A (ja) * 2016-09-13 2019-10-17 クアルコム,インコーポレイテッド 総直列抵抗が低減されたFinFET
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8653610B2 (en) * 2010-04-21 2014-02-18 International Business Machines Corporation High performance non-planar semiconductor devices with metal filled inter-fin gaps
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8361853B2 (en) 2010-10-12 2013-01-29 International Business Machines Corporation Graphene nanoribbons, method of fabrication and their use in electronic devices
US8642996B2 (en) 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
CN103021854B (zh) * 2011-09-28 2015-09-16 中国科学院微电子研究所 制作鳍式场效应晶体管的方法以及由此形成的半导体结构
US9893163B2 (en) * 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
US8603915B2 (en) 2011-11-28 2013-12-10 International Business Machines Corporation Multi-stage silicidation process
WO2013095474A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Methods for forming fins for metal oxide semiconductor device structures
DE112011105996B4 (de) * 2011-12-22 2023-11-16 Intel Corporation Halbleiterbauelement mit einem verengten Halbleiterkörper
KR101606305B1 (ko) * 2011-12-28 2016-03-24 인텔 코포레이션 적층된 트랜지스터들을 포함하는 집적회로 장치 및 그 제조방법
US8486770B1 (en) 2011-12-30 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming CMOS FinFET device
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8659032B2 (en) 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
KR101876793B1 (ko) 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9171929B2 (en) * 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
US8492228B1 (en) 2012-07-12 2013-07-23 International Business Machines Corporation Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103579295B (zh) * 2012-07-25 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8716803B2 (en) * 2012-10-04 2014-05-06 Flashsilicon Incorporation 3-D single floating gate non-volatile memory device
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
CN103928328B (zh) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
TWI499044B (zh) * 2013-01-25 2015-09-01 Flashsilicon Inc 三度空間單一浮動閘非揮發性記憶體裝置
US9196709B2 (en) * 2013-02-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9123633B2 (en) * 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9166053B2 (en) * 2013-02-22 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device including a stepped profile structure
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
DE112013006642T5 (de) * 2013-03-14 2015-11-05 Intel Corporation Leckageverringerungsstrukturen für Nanodraht-Transistoren
KR102045212B1 (ko) 2013-04-23 2019-11-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9006066B2 (en) 2013-04-26 2015-04-14 Globalfoundries Inc. FinFET with active region shaped structures and channel separation
GB2529953B (en) * 2013-06-28 2020-04-01 Intel Corp Nanostructures and nanofeatures with Si (111) planes on Si (100) wafers for III-N epitaxy
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9059002B2 (en) 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9112030B2 (en) * 2013-11-04 2015-08-18 United Microelectronics Corp. Epitaxial structure and process thereof for non-planar transistor
KR102175854B1 (ko) 2013-11-14 2020-11-09 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
KR102105363B1 (ko) 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104733312B (zh) * 2013-12-18 2018-09-07 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9472652B2 (en) 2013-12-20 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150214331A1 (en) 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9773869B2 (en) * 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9882027B2 (en) 2014-03-27 2018-01-30 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
KR102208063B1 (ko) 2014-04-22 2021-01-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102200345B1 (ko) 2014-06-26 2021-01-11 삼성전자주식회사 반도체 소자 및 그 제조방법
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
US9543167B2 (en) 2014-07-15 2017-01-10 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
US9595524B2 (en) 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
KR102265956B1 (ko) 2014-09-29 2021-06-17 삼성전자주식회사 소스/드레인을 포함하는 반도체 소자 및 그 제조방법
US10297673B2 (en) * 2014-10-08 2019-05-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including conductive contacts on source/drains
US9484346B2 (en) * 2014-10-15 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10269981B2 (en) 2014-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-channel field effect transistors using 2D-material
US9472470B2 (en) 2014-12-09 2016-10-18 GlobalFoundries, Inc. Methods of forming FinFET with wide unmerged source drain EPI
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9455331B1 (en) 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
US9768178B2 (en) 2015-11-11 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, static random access memory cell and manufacturing method of semiconductor device
KR102523125B1 (ko) 2015-11-27 2023-04-20 삼성전자주식회사 반도체 소자
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10157748B2 (en) * 2016-02-08 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin profile improvement for high performance transistor
US10446669B2 (en) 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors
US10825931B2 (en) * 2018-02-13 2020-11-03 Nanya Technology Corporation Semiconductor device with undercutted-gate and method of fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005528810A (ja) * 2002-08-23 2005-09-22 インテル コーポレイション トリゲート・デバイス及び製造方法
WO2008036681A1 (en) * 2006-09-18 2008-03-27 Intel Corporation Active regions with compatible dielectric layers
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
JP4037029B2 (ja) * 2000-02-21 2008-01-23 株式会社ルネサステクノロジ 半導体集積回路装置
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
JP2005064500A (ja) * 2003-08-14 2005-03-10 Samsung Electronics Co Ltd マルチ構造のシリコンフィンおよび製造方法
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
WO2006036461A1 (en) 2004-09-27 2006-04-06 Dow Global Technologies Inc. Multilayer coatings by plasma enhanced chemical vapor deposition
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
EP1825503B1 (en) 2004-12-06 2012-08-22 Nxp B.V. Method of producing an epitaxial layer on a semiconductor substrate
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US7351662B2 (en) * 2005-01-07 2008-04-01 Dupont Air Products Nanomaterials Llc Composition and associated method for catalyzing removal rates of dielectric films during chemical mechanical planarization
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
WO2006090201A2 (en) 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
KR100691006B1 (ko) * 2005-04-29 2007-03-09 주식회사 하이닉스반도체 메모리 소자의 셀 트랜지스터 구조 및 그 제조방법
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
US8076189B2 (en) 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE602007000665D1 (de) 2006-06-12 2009-04-23 St Microelectronics Sa Verfahren zur Herstellung von auf Si1-yGey basierenden Zonen mit unterschiedlichen Ge-Gehalten auf ein und demselben Substrat mittels Kondensation von Germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
EP2257974A1 (en) 2008-02-26 2010-12-08 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
JP5554701B2 (ja) 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005528810A (ja) * 2002-08-23 2005-09-22 インテル コーポレイション トリゲート・デバイス及び製造方法
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
WO2008036681A1 (en) * 2006-09-18 2008-03-27 Intel Corporation Active regions with compatible dielectric layers

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9379217B2 (en) 2012-01-09 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8609499B2 (en) 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9029958B2 (en) 2012-01-09 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9911850B2 (en) 2012-01-09 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
KR101317609B1 (ko) 2012-01-09 2013-10-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 및 그 형성 방법
JP2015170812A (ja) * 2014-03-10 2015-09-28 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2017520114A (ja) * 2014-06-27 2017-07-20 インテル・コーポレーション 非線形フィンベースのデバイス
KR20160056693A (ko) * 2014-11-12 2016-05-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102217246B1 (ko) 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR20160063213A (ko) * 2014-11-26 2016-06-03 삼성전자주식회사 반도체 장치의 제조 방법
KR102221224B1 (ko) 2014-11-26 2021-03-03 삼성전자주식회사 반도체 장치의 제조 방법
JP2019530223A (ja) * 2016-09-13 2019-10-17 クアルコム,インコーポレイテッド 総直列抵抗が低減されたFinFET
JP7041126B2 (ja) 2016-09-13 2022-03-23 クアルコム,インコーポレイテッド 総直列抵抗が低減されたFinFET
KR20190023759A (ko) * 2017-08-30 2019-03-08 삼성전자주식회사 반도체 장치
KR102360410B1 (ko) 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
TW201114039A (en) 2011-04-16
US8264021B2 (en) 2012-09-11
KR101217327B1 (ko) 2012-12-31
KR20110036505A (ko) 2011-04-07
TWI456760B (zh) 2014-10-11
CN102034871A (zh) 2011-04-27
US20110079829A1 (en) 2011-04-07
JP5595856B2 (ja) 2014-09-24
CN102034871B (zh) 2013-10-02

Similar Documents

Publication Publication Date Title
JP5595856B2 (ja) Finfetsおよびその形成方法
CN107887387B (zh) 半导体器件及其制造方法及包括该器件的电子设备
US9356109B2 (en) Metal gate transistor and integrated circuits
US8482073B2 (en) Integrated circuit including FINFETs and methods for forming the same
US8623721B2 (en) Silicide formation and associated devices
US8304841B2 (en) Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
CN103022102B (zh) 用于超薄界面介电层的多层清除金属栅极堆叠件
US8617946B2 (en) Integrated circuits including metal gates and fabrication methods thereof
CN116525682A (zh) 一种半导体器件及其形成方法
CN104934474A (zh) 组合FinFET及其形成方法
US20230197723A1 (en) Integrated circuits and manufacturing methods thereof
US9385213B2 (en) Integrated circuits and manufacturing methods thereof
US9153484B2 (en) Methods of forming integrated circuits
CN109216278B (zh) 半导体结构及其形成方法
CN106549061A (zh) 半导体器件及其制造方法
US10504893B2 (en) Fin field effect transistor (FinFET) device with protection layer
CN108573923B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140616

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140716

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140806

R150 Certificate of patent or registration of utility model

Ref document number: 5595856

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250