JP2009088473A - 成膜装置、成膜方法及び記憶媒体 - Google Patents

成膜装置、成膜方法及び記憶媒体 Download PDF

Info

Publication number
JP2009088473A
JP2009088473A JP2008078824A JP2008078824A JP2009088473A JP 2009088473 A JP2009088473 A JP 2009088473A JP 2008078824 A JP2008078824 A JP 2008078824A JP 2008078824 A JP2008078824 A JP 2008078824A JP 2009088473 A JP2009088473 A JP 2009088473A
Authority
JP
Japan
Prior art keywords
gas
processing
film forming
mounting table
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008078824A
Other languages
English (en)
Other versions
JP5347294B2 (ja
Inventor
Toshio Takagi
俊夫 高木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008078824A priority Critical patent/JP5347294B2/ja
Priority to CN2008800226693A priority patent/CN101689500B/zh
Priority to US12/677,664 priority patent/US8506713B2/en
Priority to KR1020097027644A priority patent/KR101177192B1/ko
Priority to PCT/JP2008/065883 priority patent/WO2009034898A1/ja
Priority to TW097134868A priority patent/TWI416645B/zh
Publication of JP2009088473A publication Critical patent/JP2009088473A/ja
Application granted granted Critical
Publication of JP5347294B2 publication Critical patent/JP5347294B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】膜厚の面内均一性や膜質の良好な成膜処理行いつつ、装置の熱的劣化を抑えることのできる成膜装置等を提供する。
【解決手段】
真空容器2内に処理ガスを供給し、基板Wを加熱して成膜処理を行う成膜装置1において、昇降機構30は基板Wの処理位置及び受け渡し位置の間で載置台300を昇降させ、囲み部分26は基板W処理時に載置台300を取り囲むことにより真空容器2内を上部空間と下部空間とに区画する。前記上部空間に連通し、基板W上方の処理雰囲気よりも外側に位置する真空排気路21は、前記処理雰囲気の真空排気のために設けられ、排気されるガスの通流空間に露出する部位は処理ガスの反応物が付着しないように加熱手段214、47により加熱される。これら加熱手段214、47と、真空容器2の下側部分との間には断熱部212、254が設けられている。
【選択図】図1

Description

本発明は、基板に対して真空雰囲気下で処理ガスを供給することにより、基板上に当該処理ガスの反応生成物を成膜する技術に関する。
半導体製造プロセスにおける成膜手法として、基板である半導体ウエハ(以下「ウエハ」という)等の表面に真空雰囲気下で第1の処理ガス(原料ガス)を吸着させた後、供給するガスを第2の処理ガス(反応ガス)に切り替えて、両ガスの反応により1層あるいは少数層の原子層や分子層を形成し、このサイクルを複数回行うことにより、これらの層を積層して、基板上への成膜を行うプロセスが知られている。このプロセスは、例えばALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)などと呼ばれており、サイクル数に応じて膜厚を高精度にコントロールすることができると共に、膜質の面内均一性も良好であり、半導体デバイスの薄膜化に対応できる有効な手法である。
この方法を実施するために、例えば特許文献1には、処理容器(真空容器)の左側面から右側面へ向けて(または右側面から左側面へ向けて)2種類の処理ガスを交互に流すことで、処理容器内に載置された基板の表面に成膜を行う成膜装置が記載されている。このように基板の一方側から他方側に処理ガスを通流させるサイドフロー方式を採用する場合には、膜厚や膜質の横方向における偏りを抑えるため、成膜処理は例えば200℃程度の比較的低温の温度雰囲気下にて行われる。
一方、例えば酸化ジルコニウム(ZrO)などの高誘電体材料を成膜する場合には、第1の処理ガス(原料ガス)として、例えばTEMAZ(テトラキスエチルメチルアミノジルコニウム)ガス等が用いられ、第2の処理ガス(反応ガス)としてオゾンガス等が用いられる。ここでTEMAZガスのような原料ガスは、分解温度が高いため、例えば280℃程度の高温にて成膜がおこなわれるが、こうした高温条件のもとでは反応の進み方が速く、一度のサイクルで成膜される膜厚が厚くなる傾向がある。特にサイドフロー方式の場合には基板の表面におけるガスの移動距離が長いことから、例えばガスの供給側では膜厚が厚くなり、排気側では膜厚が薄くなる等、良好な膜厚の面内均一性が得られないおそれがある。
また、スループットを向上させるために、例えば反応ガスであるオゾンガスの供給時間を短くした場合には、オゾンガスの供給源から離れるに従い、オゾンガスの酸化力が弱まる(オゾンガスが消費される)ので、基板上に吸着した高誘電体材料を十分に酸化することができないおそれがあり、その場合には例えばウエハ内に作成される半導体デバイス間におけるリーク電流の値がばらついてしまうといった問題もある。
このようなサイドフロー方式の問題点を解決するため、例えば通常のCVD装置に用いられるガスシャワーヘッド(特許文献2参照)を用いて、基板の中央部上方側から処理ガスを供給し、未反応の処理ガス及び反応副生成物を処理容器の底部から排気する方法が検討されている。このようなガスの供給排気方法によれば、供給された処理ガスは基板の中央から周縁に向かって流れるので、サイドフロー方式と比べてガスの移動距離が短く、成膜後の膜厚や膜質について高い面内均一性が得られると期待できる。
ここでシャワーヘッドを用いて処理ガスを供給する上述の方式において良好な成膜処理を行うためには、基板とシャワーヘッドとを接近させて基板とシャワーヘッドとの間の処理雰囲気を狭くする手法が得策であることを把握している。しかしながら、基板をシャワーヘッドに近接させると外部の搬送機構と基板の載置台との間で基板の受け渡しを行うスペースがなくなってしまう。また処理雰囲気の側方において処理容器の側壁に搬送口が設けられていると、処理雰囲気の中心から見て周囲の環境が軸方向で非対称になって、プロセスの面内均一性を阻害する要因になる。このため前記搬送口を処理雰囲気よりも低い位置に形成し、基板の処理位置と基板の受け渡し位置との間で載置台を昇降させることができるように処理容器の高さを確保する必要がある。
また処理容器の内壁に反応生成物や反応副生成物といった反応物が堆積しないように、処理ガスが触れる領域を、反応物が付着する温度以上(反応物が気化する温度)に加熱する必要がある。一般にCVDを行う枚葉の処理容器では、処理容器の内壁の加熱温度は高々200℃程度であったが、ZrやStの酸化物のような高誘電体材料においては、反応物の気化する温度が高いため、処理雰囲気の側壁からその下方の搬送口を含む領域を介して底壁に至るまで処理容器を例えば280℃程度にまで加熱する必要がある。
しかしながらこのように処理容器全体を高温に加熱すると、載置台を昇降させるための駆動系や基板を搬入する搬送口のゲートバルブの駆動系のグリース、更にまた処理容器内を気密にするための樹脂製のシール部材であるOリングが劣化する。また、市販の圧力計の耐熱性は、せいぜい200℃ぐらいまでなので、処理容器全体を280℃程度まで加熱する場合には、処理容器内の圧力測定についても困難になってくるといった問題もある。更にまた既述のように載置台を昇降させることから処理容器が大型化してくると、基板の処理容器全体を高温に加熱すると、加熱に要するエネルギーが大きくなるという課題もある。
特開2004−6733号公報:第0056段落、図8 特開2006−299294号公報:第0021段落〜第0026段落
本発明はこのような事情に基づいて行われたものであり、その目的は膜厚の面内均一性や膜質の良好な成膜処理行いつつ、装置の熱的劣化を抑えることのできる成膜装置、成膜方法及びこの方法を記憶した記憶媒体を提供することにある。
本発明は、真空容器内に搬送口から搬入され、載置台に受け渡された基板に対して、前記載置台に対向する処理ガス供給部から処理ガスを基板に供給すると共に、前記載置台の載置面を加熱しながら真空雰囲気下で処理ガスにより成膜処理を行う成膜装置において、
前記載置台を、基板が処理ガスにより処理される処理位置と、前記搬送口から進入する外部の搬送機構との間で基板の受け渡しが行われる受け渡し位置と、の間で昇降させるための昇降機構と、
前記載置台を隙間を介して取り囲み、前記基板の処理を行っているときに当該載置台と共に、前記真空容器内を載置台よりも上方の上部空間とその下方側の下部空間とに区画するための囲み部分と、
前記基板の上方の処理雰囲気よりも外側に位置すると共に、前記上部空間に連通し、前記処理雰囲気を真空排気するための真空排気路と、
前記処理雰囲気から真空排気路までのガスの通流空間に露出する部位を、反応物が付着して付着物を形成する温度よりも高い温度に加熱するための加熱手段と、
この加熱手段と、前記搬送口がその側壁に形成されると共に前記下部空間を囲む前記真空容器の下側部分と、の間に設けられた断熱部と、を備えたことを特徴とする。
本発明は、前記下部空間にパージガスを供給するためのパージガス供給路を備え、パージガスは前記載置台と囲み部分との間の前記隙間から前記上部空間に流入する構成とすることが好ましい。
本発明の具体的な態様の例を下記に列挙する。
前記囲み部分は、例えば前記処理位置にある載置台の側周面に近接してこれを囲むように前記真空容器の内壁から環状に突出する環状突出部により構成される。前記真空容器の下側部分は、上面が開口した扁平な下側容器として構成される。前記真空排気路の一部は、前記処理雰囲気の周囲に沿って配置された排気ダクトとして構成されると共に、例えば前記下側容器の上に断熱部を介して設けられる。前記排気ダクトは、前記処理雰囲気を囲むように環状に形成される。前記排気ダクトには、処理雰囲気からの排気流が流入する横方向に伸びるスリット状の排気口が形成される。前記排気ダクトには、真空排気を行うための排気管が接続される。前記排気ダクトの下面側には、前記断熱部としての断熱部材が設けられる。前記断熱部は、空気層を含む。
前記下側容器の直ぐ上の部材は、当該下側容器の上端面の内方寄りの領域にシール部材を介して接触し、当該部材と前記下側容器の上端面の外方寄りの領域との間に、大気に解放された空気層を形成する隙間が形成される。前記加熱手段により加熱される前記ガスの通流空間に露出する部位の温度は230℃以上である。前記処理雰囲気と前記真空排気路との間には、通流コンダクタンスを小さくして真空容器の周方向における排気の均一化を図るための部材が設けられる。前記載置台は、載置台本体と、この載置台本体を覆いかつ当該載置台本体に着脱自在に装着されるカバー部材と、を備えている。
本発明は、例えば既述のALD(あるいはMLD)により成膜処理する場合に適用できる。この場合、原料ガスを供給して基板に吸着させる段階と、この原料ガスと反応する反応ガスを供給して基板上に反応生成物を生成する段階と、を交互に行うと共に、これら両段階の間に、処理雰囲気をパージガスによりパージする段階が行われるように制御信号を出力する制御部を備えた構成とすることができる。また本発明は、CVD(Chemical Vapor Deposition)に適用してもよい。
更に本発明方法は、真空容器内にて載置台上の基板に対して真空雰囲気下で処理ガスを供給して成膜処理を行う成膜方法において、
前記真空容器の側壁の搬送口から外部の搬送機構により、受け渡し位置に置かれた載置台に基板を受け渡す工程と、
前記載置台を前記受け渡し位置から処理位置まで上昇させ、当該載置台を隙間を介して取り囲こむ囲み部分と当該載置台とにより、前記真空容器内を載置台よりも上方の上部空間とその下方側の下部空間とに区画する工程と、
基板を成膜温度まで加熱して、その上方から基板に対して処理ガスを供給する工程と、
この工程を行いながら、前記基板上の処理雰囲気よりも外側に位置する真空排気路から前記処理雰囲気を真空排気する工程と、
前記処理ガスを供給する工程時に、前記処理雰囲気から真空排気路までのガスの通流空間に露出する部位を加熱する加熱手段と、前記搬送口がその側壁に形成されると共に前記下部空間を囲む前記真空容器の下側部分と、の間を断熱しながら、前記通流空間に露出する部位を、反応物が付着して付着物を形成する温度よりも高い温度に前記加熱手段により加熱する工程と、を含むことを特徴とする。
この場合、前記処理雰囲気のガスが前記下部空間に入り込むことを防止するために当該下部空間にパージガスを供給する工程を含むことが好ましい。
更にまた他の発明は、成膜処理を行う成膜装置に用いられ、コンピュータ上で動作するプログラムを格納した記憶媒体であって、前記プログラムは上述したいずれかの成膜方法を実行するためにステップが組まれていることを特徴とする記憶媒体である。
本発明は、
イ.真空容器内を処理雰囲気を含む上部空間とそれよりも下方側の下部空間とに区画すると共に、前記下部空間を囲む前記真空容器の下側部分の側壁に搬送口を形成し、
ロ.処理雰囲気よりも外側位置にて上部空間に連通するように真空排気路を形成し、ガスの通流空間に露出する部位を、付着物防止のために加熱手段により高い温度に加熱し、
ハ.前記下側部分と前記加熱手段との間に断熱部を設けて、当該下側部分を熱的に分離している。
従って、前記下部空間には処理雰囲気からのガスや反応物が流れ込みにくいので、下側部分を付着物防止のために高温に加熱しなくて済み、断熱部により上側部分から熱的に切り分けることで例えば常温にすることができることから、
例えば載置台を昇降させるための駆動系や搬送口のゲートバルブの駆動系のグリースの劣化を解消することができ、また圧力計の耐熱限界に起因する真空容器内の圧力測定の困難性を解消することができる。更にまた真空容器全体を加熱しなくて済むことから、加熱に必要なエネルギー量が削減される。
以下、本発明の実施の形態である成膜装置1の構成について図1〜図4を参照しながら説明する。本実施の形態に係る成膜装置1は、例えば第1の処理ガスとして、ストロンチウム(Sr)を含む原料ガス(以下、Sr原料という)及びチタン(Ti)を含む原料ガス(以下、Ti原料という)を用い、第2の処理ガスとして反応ガスであるオゾンガスを用いて、ALDプロセスによりこれらの処理ガスを反応させて、基板であるウエハ表面に高誘電体材料であるチタン酸ストロンチウム(SrTiO、以下STOと略記する)の薄膜を成膜する機能を備えている。
図1の縦断面図に示すように、この成膜装置1は、真空容器をなす処理容器2と、当該処理容器2内に設置され、基板であるウエハWを載置するための載置台を構成するステージ31と、このステージ31と対向するように処理容器2の上部に設けられ、処理ガス供給部を構成するガスシャワーヘッド40と、を備えている。ここで本実施の形態では後述のようにステージ31がステージカバー36で覆われていることから、詳しくはステージ31が載置台本体に相当し、ステージ31とステージカバー36とにより載置台300が構成されていることになる。
ステージ31は、例えば窒化アルミニウムや石英等から構成され、例えば扁平な円板状に形成されている。ステージ31の内部には、載置台300の載置面を加熱することにより、ウエハWを成膜温度まで昇温するためのステージヒータ32が埋設されている。このステージヒータ32は、例えばシート状の抵抗発熱体より構成されていて、電源部68より電力を供給することによりステージ31上に載置されたウエハWを例えば280℃で加熱することができる。なおステージ31内には図示しない静電チャックが設けられており、ステージ31上に載置されたウエハWを静電吸着して固定することができるようになっている。
ステージ31は、柱状の支持部材33によって例えば下面側中央部を支持されており、当該支持部材33は昇降機構30によって昇降されるように構成されている。そしてこの支持部材33を昇降させることによりステージ31は、ウエハWが処理ガスにより処理される処理位置と、外部の搬送機構との間でウエハWの受け渡しが行われる受け渡し位置と、の間を例えば最長80mm程度昇降することができる。
図1に示すように支持部材33は、処理容器2の底面部、詳しくは後述の下側部分を構成する下側容器22の底面部を貫通し、既述の昇降機構30によって昇降される昇降板23に接続されていると共に、この昇降板23と下側容器22との間はベローズ24によって気密に接合されている。
またステージ31は、ウエハWの裏面を支えて当該ウエハWをステージ31の載置面より昇降させるための例えば3本の昇降ピン34を備えている。これらの昇降ピン34は、例えば図1に示すようにステージ31をウエハWの処理位置まで移動させた状態で、各昇降ピン34の扁平な頭部がステージ31の上面にて係止され、その下端部がステージ31の底面から飛び出すように、ステージ31を上下方向に貫通した状態で取り付けられている。
ステージ31を貫通した各昇降ピン34の下方側には、リング状の昇降部材35が設けられていて、ステージ31をウエハWの受け渡し位置まで降下させた状態で昇降部材35を昇降させ、各昇降ピン34を押し上げたり降下させたりすることにより、これら昇降ピン34に支持されたウエハWをステージ31の載置面より昇降させることができる。
またステージ31の上面及び側面は、ステージ31表面への反応物の堆積を抑えるための、例えば石英製の着脱自在なカバー部材(デポシールドなどと呼ばれている)であるステージカバー36によって覆われている。当該ステージカバー36の上面中央領域には、ウエハWよりやや大きな径を有する円形の凹部が形成されており、ステージカバー36上の載置面に載置されるウエハWの位置決めを行うことができる。
ここでステージカバー36の上面側における、既述の昇降ピン34が貫通している位置には、昇降ピン34の頭部を格納するための開口部が設けられている。このため、図1に示すようにウエハWの処理位置までステージ31を移動させた状態では、ステージカバー36上面と各昇降ピン34の頭部上面とがほぼ面一となって、ステージ31の上面に平坦なウエハW載置面が形成されるようになっている。更に、当該ステージカバー36の側壁部は、図1に示すようにステージ31の下方側まで延伸されていて、ステージ31の下方領域を側面から取り囲むスカート部361を形成しており、ステージ31本体と一体の側周面を構成している。
次に、ガスシャワーヘッド40について説明する。図1に示すようにガスシャワーヘッド40は、ステージ31と対向するように、処理容器2の天壁を構成する部材として成膜装置1に組み込まれている。そして、これらガスシャワーヘッド40とウエハWとの間に形成される空間がウエハWに対する成膜処理の行われる処理雰囲気10となる。
個別の図示は省略してあるが、ガスシャワーヘッド40は、例えばアルミニウム製の複数の円板部材や環状部材を組み合わせて形成されており、これらの部材には溝部や穿孔部が予め設けられている。そして互いの溝部や穿孔部が組み合わされることにより、ガスシャワーヘッド40の内部には、図1に示すようにガス供給孔401〜404や、ガス供給空間41〜44、並びにこれらを互いに接続するガス流路46等が形成されている。
詳細にはガスシャワーヘッド40は、図1に示すように、ウエハWの中央部に対向する中央領域40aと、ウエハWの周縁部に対向する周縁領域40bとに区画されており、中央領域40aのシャワーヘッド40は各種の原料ガス(Sr原料、Ti原料)及び反応ガス(オゾンガス)並びにパージガス(Arガス)を処理雰囲気10に供給する役割を果たす一方で、周縁領域40bのシャワーヘッド40はパージガスのみを供給する役割を果たしている。
中央領域40aのガスシャワーヘッド40内部には、図1に示すように、ガス供給孔401〜403へと個別に各種のガスを供給するためのガス供給空間41〜43が、上下方向に区画された状態で積層されている。各々のガス供給空間41〜43は、例えば扁平な円筒状の空間内に、当該空間の天井面と床面とを結ぶ多数の細い柱状部材45が列設された構造となっている。従って、図1の断面図では各ガス供給空間41〜43は水平方向に多数の空間に分割されているように見えるが、実際には各段のガス供給空間41〜43は、水平方向に連通している。なお柱状部材45は、ガスシャワーヘッド40内を移動する熱の伝熱性を高める役割を果たすと共に、その内部には上段側のガス供給空間41、42からのガスをガス供給孔401、402へと送るためのガス流路46が形成されている。
これらのガス供給空間41〜43のうち、最上段のSr原料供給空間41はSr原料及びパージガスをSr原料供給孔401へと供給する役割を果たし、中段のTi原料供給空間42はTi原料及びパージガスをTi原料供給孔402へと供給する役割を果たす。また、最下段のオゾンガス供給空間43からはオゾンガス及びパージガスがオゾンガス供給孔403へと供給されるようになっている。そしてこれらのガス供給孔401〜403を通過した各種のガスは、ステージ31上に載置されたウエハWの中央部上方の処理雰囲気10へと供給されることになる。こうしてこのガスシャワーヘッド40は、Sr原料、Ti原料及びオゾンガスを独立して処理容器2内に供給するポストミックスタイプのガス供給部として構成されている。
一方、周縁領域40bのガスシャワーヘッド40内部には、既述の中央領域40aのガス供給空間41〜43を取り囲む環状の空間であるパージガス供給空間44が設けられている。このパージガス供給空間44はパージガスをパージガス供給孔404へと供給する役割を果たす。パージガス供給孔404を通過したパージガスは、ステージ31上のウエハWの周縁部上方の処理雰囲気10へと供給されることとなる。
ここで図3に示すように、ガスシャワーヘッド40の上面は、加熱手段の一部を構成し、シート状の抵抗発熱体等よりなるシャワーヘッドヒータ47で覆われていて既述の電源部68より電力を供給することによりガスシャワーヘッド40全体を加熱することができるようになっている。この結果、処理ガスの通流する空間である処理雰囲気10に露出したガスシャワーヘッド40の下面は、例えば250℃以上に加熱され、処理ガスの反応物の付着を防止することができる。なお図示の便宜上、図3以外の図へのシャワーヘッドヒータ47の記載は省略した。
また図1に示すように、例えばガスシャワーヘッド40の上面には夫々のガス供給空間41〜44へと各種のガスを供給するガス供給路51〜54が接続されており、Sr原料供給空間41はSr原料供給路51と、Ti原料供給空間42はTi原料供給路52と、オゾンガス供給空間43はオゾンガス供給路53と、またパージガス供給空間44はパージガス供給路54と、夫々接続されている。更にこれらの各ガス供給路51〜54は図4のガス供給経路図に示すように、上流側で夫々各種のガス供給源61〜64と接続されている。
詳細には、Sr原料供給路51はSr原料供給源61と接続されていて、当該供給源61には、例えばSr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)やSr(MeCp)(ビスペンタメチルシクロペンタジエニエルストロンチウム)等の液体Sr原料が貯留されており、このSr原料が供給路に押し出され、気化器611により気化されてSr原料供給路51へと供給されるようになっている。
Ti原料供給路52はTi原料供給源62と接続されていて、当該供給源62には、例えばTi(OiPr)(THD)(チタニウムビスイソプロポキサイドビステトラメチルヘプタンジオナト)やTi(OiPr)(チタニウムテトライソプロポキサイド)等のTi原料が貯留されており、Sr原料の場合と同様に気化器621によってTi原料が供給されるようになっている。
また、オゾンガス供給路53は例えば周知のオゾナイザ等により構成されるオゾンガス供給源63、パージガス供給路54はアルゴンガスボンベ等により構成されるパージガス供給源64に夫々接続されていて、夫々の供給路53、54にオゾンガス及びアルゴンガスを供給できる。また、Sr原料供給路51、Ti原料供給路52、オゾンガス供給路53は夫々経路の途中で分岐してパージガス供給源64へと接続されており、それぞれの処理ガスに替えてパージガスを供給することができる。また各ガス供給路51〜54とガス供給源61〜64との間には、バルブ、流量計等からなる流量制御機器群65が介設されており、後述する制御部7からの指示に基づいて各種のガスの供給量が制御される。
次に、処理容器2の構成について説明する。当該処理容器2は、各部の駆動系グリースの劣化や圧力計の耐熱限界等の問題等を解消するため、処理容器2の加熱範囲をできるだけ狭くした構成となっている。以下、その構成について詳細に説明する。
本実施の形態に係る処理容器2は、図1、図2に示すように扁平な椀形の下側容器22の上に環状に形成された排気ダクト21を積み重ねた構成となっている。この下側容器22は、特許請求の範囲の下側部分に相当するものであり、例えばアルミニウム等により構成され、その底面には貫通孔221が設けられていて、既述したステージ31の支持部材33を貫通させるようになっている。また当該貫通孔221の周囲には、例えば4箇所にパージガス供給路222が設けられていて、パージガス供給源66から供給された窒素ガス等のパージガスを下側容器22内に送り込むことができる。
下側容器22の側壁部223には、図1中に破線で示したように、外部の搬送機構によりウエハWの搬入出を行う搬送口28が設けられており、当該搬送口28は図示しないゲートバルブによって開閉されるようになっている。なお、このゲートバルブの駆動機構にはグリースが利用されている。また下側容器22の側壁部223は、その上面に後述の排気ダクト21を積み重ねることができる厚みを備えており、当該側壁部223の上面は平坦になっている。
排気ダクト21は真空排気路の一部をなし、図2の縦断斜視図に示すように、例えばアルミニウム製の角状のダクトを湾曲させて形成された環状体として構成されており、当該環状体の内径及び外径は、既述の下側容器22の側壁部223の内径及び外径とほぼ同サイズに構成されている。また当該排気ダクト21の処理雰囲気に近い側の壁面を内壁面、処理雰囲気から遠い側の壁面を外壁面と夫々呼ぶことにすると、内壁面上端部には、図2に示すように横方向に伸びるスリット状の排気口である真空排気口211が間隔を置いて周方向に沿って複数配列されている。また排気ダクト21の外壁面の例えば一箇所には真空排気路を構成する排気管29が接続されている。そして例えば図2に示すように排気管29に接続された真空ポンプ67を利用して、排気管29、排気ダクト21を介し、各真空排気口211からの真空排気を行うことができるようになっている。
また排気ダクト21外壁面の下面側及び上面側には、図3に示すようにシート状の抵抗発熱体等よりなり、加熱手段を構成するダクトヒータ214が設けられていて、電源部68より供給される電力により例えば排気ダクト21全体を230℃に加熱し、排気ダクト21内への反応物の付着を防止できるようになっている。なお図示の便宜上、図3以外の図へのダクトヒータ214の記載は省略した。また図2に示した213は排気ダクト21の強度保持用の支柱であり、例えば互いに周方向に隣接する真空排気口211同士の間に位置するように、排気ダクト21の内に列設されている。
また排気ダクト21は、図1に示すように上面側から外壁面及び下面側にかけての外周部が断熱部をなす断熱部材212により覆われている。ここで下側容器22と排気ダクト21との積層部分の構造について説明すると、下側容器22の上端面の内側には全周に亘って、その上面が水平な突起部224が形成され、この突起部224の上に、排気ダクト21の内壁面側の下端部に例えば一体的に設けられた例えばアルミニウムからなる中間リング体252の下面が接触し、これらが樹脂製のシール部材であるOリング253を介して気密に接合されている。なお、図示の便宜上、図1以外の図においてはOリング253の記載は省略してある。
そして排気ダクト21と下側容器22とがこのように気密に接合された状態においては断熱部材212の下面と下側容器22の上面における突起部224の外側との間は隙間254が空いており、この隙間254の外側寄りには、排気ダクト21を支持するために複数の支持部材251が周方向に間隔を置いて設けられている。従ってこの隙間254は大気に連通する空気層であり、この空気層と断熱部材212とにより断熱部を構成している。
以上に説明したように処理容器2の上側部分の一部をなす排気ダクト21は、断熱部を介して下側容器22の上に積み重ねられている。言い換えれば排気ダクト21と下側容器22とは互いに断熱された状態で一体となり、処理容器2を構成している。そして排気ダクト21の内壁面に設けられた複数の真空排気口211は、ガスシャワーヘッド40とステージ31との間に形成された処理雰囲気10を含む上部空間に開口しているので、これらの真空排気口211より処理雰囲気10の真空排気を行うことができる。
更に処理容器2の内部には、図1、図2に示すようにステージ31と協働して下側容器22内の空間である下部空間を、処理雰囲気10を含む載置台300よりも上部の上部空間から区画するための環状突出部であるインナーブロック26が設けられている。このインナーブロック26は、例えばアルミニウムにより形成されたリング状部材であって、下側容器22の側壁部223内壁面と、ステージ31の側周面との間の空間に装填できるサイズに形成されている。
更にインナーブロック26には、上面外周部に外側へ広がる突起縁262が設けられており、当該突起縁262を既述の中間リング体252にて係止させることにより、下側容器22の内壁面から環状に突出した状態で固定されている。また、インナーブロック26の固定されている高さ位置は、搬送口28の上端よりも高い位置、言い替えると外部の搬送機構とのウエハWの受け渡し位置よりも高い位置に設定されている。
図3に示すように、インナーブロック26の上面には例えば同心円状に2本のシースヒータ263が埋設されており、当該シースヒータ263も電源部68より供給される電力により例えばインナーブロック26を230℃に加熱し、その表面への反応物の付着を防止する加熱手段を構成している。そしてインナーブロック26の突起縁262と中間リング体252との間には、これらが室温の時には僅かな隙間が形成されているが、インナーブロック26がステージ31からの熱輻射により加熱された時には、インナーブロック26の熱膨張により突起縁262と中間リング体252は密接するようになされて、インナーブロック26の熱を排気ダクト21側に逃がしてインナーブロック26の過加熱を防止している。またインナーブロック26は、表面への反応物の堆積を抑えるための石英製のブロックカバー261により覆われている。
ここでステージ31とブロックカバー261との位置関係について詳細に説明すると、ステージ31が処理位置にあるときに当該ステージ31を覆う前記ステージカバー36の側面(スカート部361の側面)と前記ブロックカバー261の側面との間の隙間は例えば2mmであり、処理雰囲気のガスが下部空間に拡散しにくい状態になっている。この状態は特許請求の範囲に記載した、上部空間と下部空間とが区画された状態に相当する。またインナーブロック26と石英製のブロックカバー261とは、この例では特許請求の範囲に記載した、隙間を介して載置台を囲む囲み部分に相当する。
更に排気ダクト21の内壁面に形成された真空排気口211と処理雰囲気10との間のリング状の空間11の入口側には、この空間の通流コンダクタンスを小さくすることにより、当該処理雰囲気10からみて処理容器2の周方向における排気の均一化を計るための部材であるバッフルリング27が配設されている。図1、図2に示すように、バッフルリング27は断面が逆L字状に形成されたリング部材であって、ガスシャワーヘッド40の周縁部底面に固定されている。この結果処理雰囲気10の周囲には、ガスシャワーヘッド40の周縁部から下方に伸びる環状の突縁部271が配置されることになるため、処理雰囲気10より排気されるガスは、この突縁部271とインナーブロック26との間に形成された狭い空間を通り、その後排気口211に向けて上昇することになる。従って処理雰囲気からの排気流は突縁部271の下方の狭い領域を介して屈曲するため、通流コンダクタンスがさらに小さくなる。
また成膜装置1は、既述の各ヒータ214、263、32、47による加熱動作やガス供給源61〜63からのガス供給、ステージ31の昇降動作等を制御する制御部7を備えている。制御部7は例えば図示しないCPUとプログラムとを備えたコンピュータからなり、このプログラムには当該成膜装置1によってウエハWへの成膜処理を行うのに必要な制御、例えば既述の各ヒータ214、263、32、47の温度制御やガス供給源61〜63からの各種ガス供給の給断や供給量調整に係る制御、ステージ31の昇降動作制御等についてのステップ(命令)群が組まれている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。
次に、上述の実施の形態に係る成膜装置1の作用について説明する。先ず搬送口28のゲートバルブを開け、外部の搬送機構を搬送口28より進入させて処理容器2内にウエハWを搬入する。次いで昇降ピン34を介して、受け渡し位置にあるステージ31上にウエハWを載置して不図示の静電チャックによりステージ31上に吸着する。このとき、図3に示した加熱手段を構成するヒータ214、263により排気ダクト21及びインナーブロック26の表面が例えば各々230℃まで加熱され、またヒータ47によりガスシャワーヘッド40の表面が例えば250℃まで加熱されている。次いで搬送口28のゲートバルブを閉じて処理容器2内を気密な状態とした後、真空ポンプ67により排気ダクト21を介して処理容器2内を引き切りの状態とする。
このとき既述のようにインナーブロック26はウエハWの受け渡し位置よりも高い位置に固定されているので、図5に示すようにステージ31をウエハWの受け渡し位置まで降下させた状態においては、下側容器22内の空間は処理雰囲気10と連通した(区画されていない)状態となっている。このため、上述の真空排気においては下側容器22内を含む処理容器2内全体が真空排気される。
処理容器2内が所定の圧力まで真空排気されたら、真空排気を継続したままウエハWの載置されたステージ31を処理位置まで上昇させる。本実施の形態に係る成膜装置1は、例えば図6(a)に示すようにガスシャワーヘッド40の下面とウエハWの上面との間の距離「h」が40mmとなる最も低い(距離「h」が長い)処理位置から、図6(b)に示すように「h=8mm」となる最も高い(距離「h」が短い)処理位置まで、処理位置を上下方向に自在に変更することができる。そして距離「h」が40mmとなる最も低い処理位置における処理雰囲気10の体積をVとし、リング状空間11の体積をVとした場合、V<Vとなっており、処理雰囲気10のガスを速やかに排気ダクト21へ送ることができるため、ガスの置換時間を短くすることができる。
ここでガスシャワーヘッド40の下面とウエハWの上面との間の距離「h」と成膜処理との関係について簡単に説明すると、図6(b)に示したように距離「h」を短くした場合には処理雰囲気10の容積は小さくなり、各原料ガスの使用量を抑えることができる。また当該処理雰囲気10をパージする時間も短縮されて成膜処理全体に要する時間も短くすることができる。このようなメリットがある一方で、各原料ガス供給孔401、402とウエハWとの間の距離が短くなりすぎると、これら供給孔401、402の形状が成膜された膜に転写されてしまい、膜厚の不均一な膜となってしまうという問題もある。そこで本実施の形態に係る成膜装置1は、例えば成膜条件を指定したレシピに応じて最適な処理位置を予め記憶しており、その成膜処理において選択されたレシピに規定されている処理位置までステージ31を上昇させるように構成されている。
このような動作により図5に示した受け渡し位置から、図6(a)あるいは図6(b)の処理位置までステージ31を上昇させると、ステージカバー36の側周面、あるいは当該側周面から延伸されたスカート部361がインナーブロック26に取り囲まれた状態となって、ステージ31上方の処理雰囲気10と、下側容器22内の空間とが、ステージ31及びインナーブロック26により遮られて互いに区画された状態となる。
このようにして処理雰囲気10と下側容器22内の空間とが区画されたら、パージガス供給路222より下側容器22内へのパージガス導入を開始する。そしてステージヒータ32によりウエハWの温度が例えば280℃まで加熱された後、STOの成膜処理を開始する。なお、図5、図6、図8の各図においては、図示の便宜上ステージヒータ32の記載は省略してある。また以下の作用説明では、ウエハWの処理位置が図6(a)に示した位置にある場合を例にとって説明を進める。
ALDプロセスによるSTOの成膜処理は、図7(a)〜(d)に示すガス供給シーケンスに基づいて実行される。図7(a)〜(c)の各図に示した白抜きのカラムは各ガス供給路51〜53の処理ガス(Sr原料、Ti原料、オゾンガス)の流量を示し、また図7(a)〜(d)の斜線のハッチで塗りつぶしたカラムは、各ガス供給路51〜54のパージガスの供給量を示している。また図8(a)、(b)は、これらのシーケンス実行中の成膜装置1内の各ガスの流れを模式的に示している。なお図示の便宜上、図8(a)、(b)においては、中央領域40aにガスを供給する各ガス供給空間41〜43やガス供給孔401〜403を総括的に処理ガス供給機構48として破線で示し、また周縁領域40bにパージガスを供給するパージガス供給路54やパージガス供給孔404等を総括的にパージガス供給機構49として破線で示してある。
ガス供給シーケンスによれば、図7(a)、(b)に示すように、まずSr原料の供給と、Ti原料の供給とを同時に行う(原料ガス供給工程)。また図7(c)、(d)に示すように原料ガスの逆流を防止するため、オゾンガス供給路53とパージガス供給路54からは少量のパージガスを流す。このときの処理容器2内では、図8(a)に示すようにガスシャワーヘッド40の中央領域40aからSr原料とTi原料とが異なる供給孔401、402から別々に供給され、処理雰囲気10内で混合(ポストミックス)された後、ステージ31上のウエハWの中央部に到達する。このとき処理雰囲気10の周囲には、排気ダクト21に設けられた真空排気口211が当該処理雰囲気10を取り囲むように配置されているので、ウエハW中央部に到達した原料ガスはこれらの真空排気口211に向かってウエハWの中央部から周縁部へと流れていく。このようにウエハWの中央部から周縁部に原料ガスが流れることにより、原料ガスの移動距離が短くなって、各原料ガスの分子をウエハWの径方向に均一に吸着させることができる。
またリング状空間11の入口には、既述のように処理雰囲気10から真空排気口211までの空間の通流コンダクタンスを小さくするためのバッフルリング27が設けられているので、図2に示したように排気ダクト21の一箇所に設けられた排気管29を介して各真空排気口211からの真空排気を行う場合であっても、処理雰囲気10に対する吸引力が処理容器2(真空排気口211)の周方向において均一化される。このため、ウエハWの中央部から周縁部に向かって流れていく原料ガスの流れは、一方向に偏ることなくウエハWの周方向に均一化されて、各原料ガスの分子はウエハWの周方向にも均一に吸着し、全体として面内で均一な吸着層が形成される。
また本実施の形態に係る成膜装置1では、各原料ガス(第1の処理ガス)が処理雰囲気10を流れる際に、ステージ31やインナーブロック26が処理雰囲気10を含む上部空間と下側容器22内部の下部空間とを区画しているので、原料ガスが下側容器22内の空間へと流入することを抑え、反応付着物の形成を防止することができる。特に下側容器22側にはパージガス供給路222よりパージガスが供給され、処理雰囲気10側では真空排気口211への真空排気がおこなわれているため、図8(a)に示すように、ステージ31とインナーブロック26との隙間には下側容器22から真空排気口211へと流れるパージガスの流れが形成され、原料ガスの下側容器22側への流入を阻止する効果が一層高められる。ここで、ステージ31とインナーブロック26との隙間を流れるパージガスは、ペクレ数「Pe」が「Pe≧20」となるように、パージガス供給路222からのパージガスの供給量を調整すると、逆拡散による原料ガスの下側容器22側への流れ込みを防止することができる。ここでPe=Vs・Ls/Dであり、Vsはステージ31とインナーブロック26との隙間を流れるパージガスの流速、Lsは前記隙間の長さ、Dは原料ガスの拡散定数である。
一方、原料ガスの流れる通流空間(処理雰囲気10、バッフルリング27とインナーブロック26との間のリング状空間11や排気ダクト21内の空間)を構成する部材には、当該通流空間に露出する部位を加熱するための各種ヒータ214、263、32、47が設けられている。このため、これら通流空間に露出する部位を、反応物が付着して付着物を形成する温度よりも高い温度に加熱することにより、やはり反応付着物の形成を防止できる。通流空間に露出する部位の温度としては、少なくとも200℃以上、好ましくは230℃以上、さらに好ましくは250℃以上である。
このようにして所定時間が経過し、ウエハW上に原料ガスの吸着層を形成したら、原料ガスの供給を停止し、図7(a)、図7(b)及び図7(d)に示すようにSr原料供給路51、Ti原料供給路52及びパージガス供給路54からパージガスを供給して、処理雰囲気10並びに処理ガス供給機構48内部に残存する原料ガスをパージする(原料ガスパージ工程)。また図7(c)に示すようにオゾンガス供給路53からは少量のパージガスを流している。このとき処理容器2内では、図8(b)に示すようにガスシャワーヘッド40の中央領域40aと周縁領域40bとから同時にパージガスが供給されるため、例えばこれらの領域のいずれか一方のみからパージガスを供給する場合に比べてパージガス量が多くなり、短い時間で原料ガスのパージを終えることができる。また、この期間中もステージ31、インナーブロック26によって処理雰囲気10と下側容器22内の空間とが区画されると共にステージ31、インナーブロック26の隙間にパージガスが流れているので、排気される原料ガスが下側容器22側へと流れ込むこともほとんどない。
処理雰囲気10内のパージを終えたら、図7(a)、図7(b)、図7(d)に示すようにSr原料供給路51、Ti原料供給路52、パージガス供給路54からは少量のパージを継続して、後段で供給されるオゾンガスの処理ガス供給機構48内への進入を防止する。次いで、図7(c)に示すようにオゾンガス供給路53からのオゾンガスの供給を行う(オゾンガス供給工程)。このとき処理容器2内では、図8(a)に示すように既述の原料ガス供給の場合とほぼ同様の挙動にてオゾンガスが処理雰囲気10内を流れ、ウエハWの表面に既に吸着している吸着層の原料ガスとオゾンとがステージヒータ32からの熱エネルギーにより反応して、STOの分子層が形成される。
こうして所定時間オゾンガスを供給したらオゾンガスの供給を停止して、図7(c)、図7(d)に示すようにオゾンガス供給路53、パージガス供給路54からパージガスを供給して、処理雰囲気10並びに処理ガス供給機構48内部に残存するオゾンガスをパージする(オゾンガスパージ工程)。また図7(a)、図7(b)に示すように、Sr原料供給路51、Ti原料供給路52からは少量のパージガスを継続して流している。このとき処理容器2内では既述の図8(b)とほぼ同様の経路でパージガスが流れ、比較的短時間で処理雰囲気10内に残存するオゾンガスを排除することができる。
図7に示すように、以上に説明した4つの工程を1サイクルとすると、当該サイクルを予め決められた回数、例えば100回繰り返してSTOの分子層を多層化し、所定の膜厚を備えたSTO膜の成膜を完了する。このように原料ガス供給工程、原料ガスパージ工程、オゾンガス供給工程、オゾンガスパージ工程の各工程において、本来大流量で流すガス流路以外のガス流路からも必ず小流量のパージガスを流すようにしている。
本実施の形態に係る成膜装置1によれば以下の効果がある。
処理位置にある載置台300を取り囲むようにインナーブロック26を設けることにより、処理雰囲気を含む上部空間とそれよりも下部空間とに区画することで、処理雰囲気からのガスが下部空間に流れ込みにくくなる。そして更に下部空間にパージガスを供給することで、インナーブロック26と載置台300との間の隙間から処理雰囲気のガスが下部空間に拡散することをより一層抑えている。このため下側容器22を付着物防止のために高温に加熱しなくて済む。そして下側容器22と排気ダクト21との間を断熱し、インナーブロック26と下側容器22との接合部の接合面積を小さくし、こうして下側容器22を熱的に分離していることから、下側容器22は例えば常温にすることができ、上部空間に露出する部位のように高温にはならない。
ゲートバルブにより開閉される搬送口28は、いわば冷たいこの下側容器22に設けられていることから、搬送口のゲートバルブの駆動系や載置台33を昇降させるための駆動系のグリースの熱的劣化を解消することができる。また図示していないが処理容器2内の圧力を測定する圧力計が下側容器2に設けられていることから、圧力計の耐熱限界の問題も解消され、圧力測定に支障を及ぼすことがない。更にまた処理容器2全体を加熱しなくて済むことから、加熱に必要なエネルギー量が削減される利点もある。
また、ガスシャワーヘッド40からウエハWにガスを供給し、処理雰囲気を囲む真空排気口211から真空排気しているため、ALDにおける処理ガスの切り替わり時に大流量でパージガスを流しても速やかに排気することができ、このためALDの処理ガスの切り替えサイクルを早めることができ、スループットの向上を図ることができる。
上述の成膜装置1ではSr原料とTi原料を第1の処理ガス(原料ガス)とし、オゾンガスを第2の処理ガス(反応ガス)としてSTOの薄膜を成膜する場合について説明したが、当該成膜装置1にて成膜可能な薄膜の種類はこれに限定されるものではない。例えばTEMAZを原料ガス、オゾンガスや水蒸気を反応ガスとして酸化ジルコニウムの薄膜を成膜するプロセス等に適用してもよい。また当該成膜装置1に適用可能なプロセスの種類もALDやMLDに限定されるものではなく、原料ガスと反応ガスとを連続供給する通常タイプのCVDプロセスにも本発明の装置を適用できる。
なお上述の実施の形態においては、処理容器2の内壁から環状に突出するようにインナーブロック26を設け、当該インナーブロック26の環内にステージ31の側周面を内接させることにより、処理雰囲気10と下側容器22内部とを区画するように構成しているが、インナーブロック26を設けずにこれらの空間を区画するようにしてもよい。例えば図9に示した成膜装置1においては下側容器22の側壁部223の径を小さくし、当該側壁部223をステージ31の側周面に近接させて取り囲む囲み部分とすることにより処理雰囲気10と下側容器22内部の空間とを区画している。このような場合には図10の拡大図に示すように、下側容器22にもガスの通流空間に露出する部位が生じるので、かかる部位を例えば石英製のカバー部材225で覆い、当該カバー部材225の下面側に加熱手段であるヒータ226を設けたりして下側容器22に反応物が付着するのを防止するとよい。
実施の形態に係る成膜装置の縦断面図である。 上記成膜装置の処理容器部分の縦断斜視図である。 上記成膜装置の拡大縦断面図である。 上記成膜装置のガス供給経路図である。 上記成膜装置の第1の作用図である。 上記成膜装置の第2の作用図である。 上記成膜装置による成膜処理におけるガス供給シーケンス図である。 上記成膜装置の第3の作用図である。 上記成膜装置の変形例の縦断面図である。 上記変形例の拡大図である。
符号の説明
W ウエハ
1 成膜装置
2 処理容器
7 制御部
10 処理雰囲気
21 排気ダクト
22 下側容器
23 昇降板
24 ベローズ
26 インナーブロック
27 バッフルリング
28 搬送口
29 排気管
30 昇降機構
31 ステージ
32 ステージヒータ
33 支持部材
34 昇降ピン
35 昇降部材
36 ステージカバー
40 ガスシャワーヘッド
40a 中央領域
40b 周縁領域
48 処理ガス供給機構
49 パージガス供給機構
211 真空排気口
212 断熱部材
213 支柱
214 ダクトヒータ
221 貫通孔
222 パージガス供給路
223 側壁部
224 突起部
225 カバー部材
226 ヒータ
251 支持部材
252 中間リング体
253 Oリング
254 隙間
261 ブロックカバー
262 突起縁
263 シースヒータ
271 突縁部
300 載置台
361 スカート部

Claims (19)

  1. 真空容器内に搬送口から搬入され、載置台に受け渡された基板に対して、前記載置台に対向する処理ガス供給部から処理ガスを基板に供給すると共に、前記載置台の載置面を加熱しながら真空雰囲気下で処理ガスにより成膜処理を行う成膜装置において、
    前記載置台を、基板が処理ガスにより処理される処理位置と、前記搬送口から進入する外部の搬送機構との間で基板の受け渡しが行われる受け渡し位置と、の間で昇降させるための昇降機構と、
    前記載置台を隙間を介して取り囲み、前記基板の処理を行っているときに当該載置台と共に、前記真空容器内を載置台よりも上方の上部空間とその下方側の下部空間とに区画するための囲み部分と、
    前記基板の上方の処理雰囲気よりも外側に位置すると共に、前記上部空間に連通し、前記処理雰囲気を真空排気するための真空排気路と、
    前記処理雰囲気から真空排気路までのガスの通流空間に露出する部位を、反応物が付着して付着物を形成する温度よりも高い温度に加熱するための加熱手段と、
    この加熱手段と、前記搬送口がその側壁に形成されると共に前記下部空間を囲む前記真空容器の下側部分と、の間に設けられた断熱部と、を備えたことを特徴とする成膜装置。
  2. 前記下部空間にパージガスを供給するためのパージガス供給路を備え、パージガスは前記載置台と囲み部分との間の前記隙間から前記上部空間に流入することを特徴とする請求項1に記載の成膜装置。
  3. 前記囲み部分は、前記処理位置にある載置台の側周面に近接してこれを囲むように前記真空容器の内壁から環状に突出する環状突出部により構成されたことを特徴とする請求項1または2に記載の成膜装置。
  4. 前記真空排気路の一部は、前記処理雰囲気の周囲に沿って配置された排気ダクトとして構成され、この排気ダクトには、処理雰囲気の周方向に沿って当該処理雰囲気からの排気流が流入する排気口が形成されていることを特徴とする請求項1ないし3のいずれか一つに記載の成膜装置。
  5. 前記排気ダクトは、前記処理雰囲気を囲むように環状に形成されていることを特徴とする請求項4に記載の成膜装置。
  6. 前記排気口は、横方向に伸びるスリット状に形成されていることを特徴とする請求項4または5に記載の成膜装置。
  7. 前記排気ダクトには、真空排気を行うための排気管が接続されていることを特徴とする請求項4ないし6のいずれか一つに記載の成膜装置。
  8. 前記排気ダクトの下面側には、前記断熱部としての断熱部材が設けられていることを特徴とする請求項4ないし7のいずれか一つに記載の成膜装置。
  9. 前記真空容器の下側部分は、上面が開口した扁平な下側容器として構成されていることを特徴とする請求項1ないし8のいずれか一つに記載の成膜装置。
  10. 前記下側容器の直ぐ上の真空容器を構成する部材は、当該下側容器の上端面の内方寄りの領域にシール部材を介して接触し、
    前記真空容器を構成する部材と前記下側容器の上端面の外方寄りの領域との間には、大気に解放された断熱部をなす空気層を形成する隙間が形成されている請求項9に記載の成膜装置。
  11. 前記加熱手段により加熱される前記ガスの通流空間に露出する部位の温度は230℃以上であることを特徴とする請求項1ないし10のいずれか一つに記載の成膜装置。
  12. 前記処理雰囲気と前記真空排気路との間には、通流コンダクタンスを小さくして真空容器の周方向における排気の均一化を図るための部材が設けられていることを特徴とする請求項1ないし11のいずれか一つに記載の成膜装置。
  13. 前記載置台は、載置台本体と、この載置台本体を覆いかつ当該載置台本体に着脱自在に装着されるカバー部材と、を備えていることを特徴とする請求項1ないし12のいずれか一つに記載の成膜装置。
  14. 前記処理ガスの供給は、原料ガスを供給して基板に吸着させる段階と、この原料ガスと反応する反応ガスを供給して基板上に反応生成物を生成する段階と、を交互に行うと共に、これら両段階の間に、処理雰囲気をパージガスによりパージする段階が行われるように制御信号を出力する制御部を備えていることを特徴とする請求項1ないし13のいずれか一つに記載の成膜装置。
  15. 真空容器内にて載置台上の基板に対して真空雰囲気下で処理ガスを供給して成膜処理を行う成膜方法において、
    前記真空容器の側壁の搬送口から外部の搬送機構により、受け渡し位置に置かれた載置台に基板を受け渡す工程と、
    前記載置台を前記受け渡し位置から処理位置まで上昇させ、当該載置台を隙間を介して取り囲こむ囲み部分と当該載置台とにより、前記真空容器内を載置台よりも上方の上部空間とその下方側の下部空間とに区画する工程と、
    基板を成膜温度まで加熱して、その上方から基板に対して処理ガスを供給する工程と、
    この工程を行いながら、前記基板上の処理雰囲気よりも外側に位置する真空排気路から前記処理雰囲気を真空排気する工程と、
    前記処理ガスを供給する工程時に、前記処理雰囲気から真空排気路までのガスの通流空間に露出する部位を加熱する加熱手段と、前記搬送口がその側壁に形成されると共に前記下部空間を囲む前記真空容器の下側部分と、の間を断熱しながら、前記通流空間に露出する部位を、反応物が付着して付着物を形成する温度よりも高い温度に前記加熱手段により加熱する工程と、を含むことを特徴とする成膜方法。
  16. 前記処理雰囲気のガスが前記下部空間に入り込むことを防止するために当該下部空間にパージガスを供給する工程を含むことを特徴とする請求項15に記載の成膜方法。
  17. 前記ガスの通流空間に露出する部位の温度は230℃以上であることを特徴とする請求項15または16に記載の成膜方法。
  18. 処理雰囲気から排気された排気流を、前記処理雰囲気の側方に当該処理雰囲気の周方向に沿って形成された真空排気路を介して、この真空排気路に接続された排気管に排出する工程を含むことを特徴とする請求項15ないし17のいずれか一つに記載の成膜方法。
  19. 成膜処理を行う成膜装置に用いられ、コンピュータ上で動作するプログラムを格納した記憶媒体であって、
    前記プログラムは請求項15ないし18のいずれか一つに記載された成膜方法を実行するためにステップが組まれていることを特徴とする記憶媒体。
JP2008078824A 2007-09-12 2008-03-25 成膜装置、成膜方法及び記憶媒体 Active JP5347294B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2008078824A JP5347294B2 (ja) 2007-09-12 2008-03-25 成膜装置、成膜方法及び記憶媒体
CN2008800226693A CN101689500B (zh) 2007-09-12 2008-09-03 成膜装置和成膜方法
US12/677,664 US8506713B2 (en) 2007-09-12 2008-09-03 Film deposition apparatus and film deposition method
KR1020097027644A KR101177192B1 (ko) 2007-09-12 2008-09-03 성막 장치, 성막 방법 및 기억 매체
PCT/JP2008/065883 WO2009034898A1 (ja) 2007-09-12 2008-09-03 成膜装置及び成膜方法
TW097134868A TWI416645B (zh) 2007-09-12 2008-09-11 Film forming apparatus and film forming method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007237055 2007-09-12
JP2007237055 2007-09-12
JP2008078824A JP5347294B2 (ja) 2007-09-12 2008-03-25 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2009088473A true JP2009088473A (ja) 2009-04-23
JP5347294B2 JP5347294B2 (ja) 2013-11-20

Family

ID=40661442

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008078824A Active JP5347294B2 (ja) 2007-09-12 2008-03-25 成膜装置、成膜方法及び記憶媒体

Country Status (5)

Country Link
US (1) US8506713B2 (ja)
JP (1) JP5347294B2 (ja)
KR (1) KR101177192B1 (ja)
CN (1) CN101689500B (ja)
TW (1) TWI416645B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013072119A (ja) * 2011-09-28 2013-04-22 Ulvac Japan Ltd Cvd装置
JP2015127453A (ja) * 2013-11-29 2015-07-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
WO2016063670A1 (ja) * 2014-10-24 2016-04-28 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20160110273A (ko) * 2015-03-12 2016-09-21 에스피티에스 테크놀러지스 리미티드 Pe-cvd 장치 및 방법
JP2017118001A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2017183393A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2018093139A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN112609170A (zh) * 2020-11-24 2021-04-06 鑫天虹(厦门)科技有限公司 原子层沉积设备与制程方法
CN113707573A (zh) * 2020-05-20 2021-11-26 东京毅力科创株式会社 连接处理容器、基板处理***以及基板处理方法
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
US20220282373A1 (en) * 2021-03-05 2022-09-08 Sky Tech Inc. Atomic layer deposition device
JP7488791B2 (ja) 2021-05-24 2024-05-22 日本碍子株式会社 熱処理炉

Families Citing this family (527)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5171969B2 (ja) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6432507B2 (ja) * 2013-04-30 2018-12-05 東京エレクトロン株式会社 成膜装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9957615B2 (en) 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6574656B2 (ja) * 2014-12-26 2019-09-11 東京エレクトロン株式会社 基板処理装置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10403517B2 (en) 2015-02-18 2019-09-03 SCREEN Holdings Co., Ltd. Substrate processing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
TWI611043B (zh) * 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6870944B2 (ja) * 2016-09-26 2021-05-12 株式会社Screenホールディングス 基板処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111954923A (zh) * 2018-03-23 2020-11-17 东京毅力科创株式会社 加热处理装置和加热处理方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP6773711B2 (ja) 2018-03-27 2020-10-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11427912B2 (en) * 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109580325B (zh) * 2018-11-17 2023-08-29 金华职业技术学院 一种沉积制备薄膜样品的方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) * 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP7502039B2 (ja) * 2019-03-28 2024-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP6677844B1 (ja) * 2019-04-26 2020-04-08 株式会社オリジン 加熱装置及びはんだ接合済対象物の製造方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20220027973A (ko) * 2019-07-04 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 아이솔레이터 장치 및 방법들
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) * 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112522683B (zh) * 2020-12-01 2023-03-24 江苏集萃有机光电技术研究所有限公司 一种原子层沉积装置及oled封装方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113652645B (zh) * 2021-08-05 2023-08-11 江苏微导纳米科技股份有限公司 一种旋转镀膜设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288279B (zh) * 2023-05-23 2023-08-18 中微半导体设备(上海)股份有限公司 一种气相沉积装置及基片处理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335892A (ja) * 2003-05-09 2004-11-25 Asm Japan Kk 薄膜形成装置
WO2005113852A2 (en) * 2004-05-12 2005-12-01 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2005122216A1 (en) * 2004-06-04 2005-12-22 Toykyo Electron Limited Processing system and method for treating a substrate
JP2007059782A (ja) * 2005-08-26 2007-03-08 Tokyo Electron Ltd スペーサー部材およびプラズマ処理装置
JP2007142363A (ja) * 2005-10-18 2007-06-07 Tokyo Electron Ltd 処理装置

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JP2668019B2 (ja) 1988-05-31 1997-10-27 東京エレクトロン株式会社 縦型熱処理装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
US5556474A (en) * 1993-12-14 1996-09-17 Nissin Electric Co., Ltd. Plasma processing apparatus
US5518547A (en) * 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
JPH11158632A (ja) 1997-07-22 1999-06-15 Ebara Corp 薄膜気相成長装置
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
KR100722592B1 (ko) * 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
EP1308992A4 (en) * 2000-08-11 2006-01-18 Tokyo Electron Ltd DEVICE AND METHOD FOR TREATING SUBSTRATES
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US20030000647A1 (en) * 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
KR20040047874A (ko) * 2001-09-29 2004-06-05 크리, 인코포레이티드 반전(反轉)된 화학 증착(cvd)용 장치
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2003231970A (ja) 2002-02-08 2003-08-19 Hitachi Zosen Corp 基板処理装置および基板処理方法
JP4099092B2 (ja) 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
JP4393071B2 (ja) * 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
JP2006299294A (ja) 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置
EP1913172A2 (en) * 2005-07-29 2008-04-23 Aviza Technology, Inc. Gas manifold valve cluster
JP2007081212A (ja) * 2005-09-15 2007-03-29 Mitsui Eng & Shipbuild Co Ltd 基板昇降装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101008080B (zh) * 2006-01-27 2011-03-23 爱沃特株式会社 成膜装置
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP4933814B2 (ja) * 2006-03-30 2012-05-16 株式会社ブイ・テクノロジー 作業装置におけるワーク受け渡し装置
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP2008311385A (ja) * 2007-06-14 2008-12-25 Hitachi High-Technologies Corp 基板処理装置
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
TW201204868A (en) * 2010-07-12 2012-02-01 Applied Materials Inc Compartmentalized chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335892A (ja) * 2003-05-09 2004-11-25 Asm Japan Kk 薄膜形成装置
WO2005113852A2 (en) * 2004-05-12 2005-12-01 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2005122216A1 (en) * 2004-06-04 2005-12-22 Toykyo Electron Limited Processing system and method for treating a substrate
JP2007059782A (ja) * 2005-08-26 2007-03-08 Tokyo Electron Ltd スペーサー部材およびプラズマ処理装置
JP2007142363A (ja) * 2005-10-18 2007-06-07 Tokyo Electron Ltd 処理装置

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013072119A (ja) * 2011-09-28 2013-04-22 Ulvac Japan Ltd Cvd装置
JP2015127453A (ja) * 2013-11-29 2015-07-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2015229776A (ja) * 2014-06-03 2015-12-21 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101631031B1 (ko) 2014-07-08 2016-06-15 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법, 가스 정류부 및 기록 매체
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
KR20160006111A (ko) * 2014-07-08 2016-01-18 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법, 가스 정류부 및 기록 매체
JP2016018886A (ja) * 2014-07-08 2016-02-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10287684B2 (en) 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
JP2016048705A (ja) * 2014-08-27 2016-04-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
KR20170058422A (ko) * 2014-10-24 2017-05-26 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
US10815567B2 (en) 2014-10-24 2020-10-27 Tokyo Electron Limited Deposition device and deposition method
JP2016084498A (ja) * 2014-10-24 2016-05-19 東京エレクトロン株式会社 成膜装置及び成膜方法
KR101932870B1 (ko) * 2014-10-24 2019-03-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
WO2016063670A1 (ja) * 2014-10-24 2016-04-28 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2016191147A (ja) * 2015-03-12 2016-11-10 エスピーティーエス テクノロジーズ リミティド プラズマ化学気相蒸着装置および方法
KR20160110273A (ko) * 2015-03-12 2016-09-21 에스피티에스 테크놀러지스 리미티드 Pe-cvd 장치 및 방법
KR102538276B1 (ko) * 2015-03-12 2023-05-30 에스피티에스 테크놀러지스 리미티드 Pe-cvd 장치 및 방법
JP2017118001A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2017183393A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2018093139A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN113707573A (zh) * 2020-05-20 2021-11-26 东京毅力科创株式会社 连接处理容器、基板处理***以及基板处理方法
CN113707573B (zh) * 2020-05-20 2023-12-22 东京毅力科创株式会社 连接处理容器、基板处理***以及基板处理方法
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
CN112609170A (zh) * 2020-11-24 2021-04-06 鑫天虹(厦门)科技有限公司 原子层沉积设备与制程方法
US20220282373A1 (en) * 2021-03-05 2022-09-08 Sky Tech Inc. Atomic layer deposition device
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
JP7488791B2 (ja) 2021-05-24 2024-05-22 日本碍子株式会社 熱処理炉

Also Published As

Publication number Publication date
KR20100051597A (ko) 2010-05-17
TWI416645B (zh) 2013-11-21
US8506713B2 (en) 2013-08-13
US20100279008A1 (en) 2010-11-04
JP5347294B2 (ja) 2013-11-20
TW200933785A (en) 2009-08-01
KR101177192B1 (ko) 2012-08-24
CN101689500A (zh) 2010-03-31
CN101689500B (zh) 2012-02-29

Similar Documents

Publication Publication Date Title
JP5347294B2 (ja) 成膜装置、成膜方法及び記憶媒体
US10475641B2 (en) Substrate processing apparatus
KR101268186B1 (ko) 성막 장치, 성막 방법, 기억 매체 및 가스 공급 장치
US9885114B2 (en) Film forming apparatus
JP5233734B2 (ja) ガス供給装置、成膜装置及び成膜方法
JP5544697B2 (ja) 成膜装置
JP6115244B2 (ja) 成膜装置
JP5565242B2 (ja) 縦型熱処理装置
JP5545055B2 (ja) 支持体構造及び処理装置
US20150221529A1 (en) Gas supply method and thermal treatment method
JP5444599B2 (ja) ガス供給装置及び成膜装置
JP5315898B2 (ja) 成膜装置
US20100068893A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR20110138189A (ko) 지지체 구조 및 처리 장치
JP2014074190A (ja) 成膜装置
US20200370172A1 (en) Hard mask, substrate processing method, and substrate processing apparatus
JP2011238832A (ja) 基板処理装置
KR101759791B1 (ko) 기판 처리 방법, 기판 처리 장치 및 프로그램
JP2012059834A (ja) 半導体装置の製造方法
JP5083153B2 (ja) 真空処理装置
JP2013225684A (ja) ガス供給装置、処理装置及び処理方法
JP2010147157A (ja) 半導体装置の製造方法
JP2011187757A (ja) 半導体装置の製造方法及び基板処理装置
JP2012169438A (ja) 半導体装置の製造方法及び基板処理装置
JP2011060936A (ja) 半導体装置の製造方法および基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130723

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130805

R150 Certificate of patent or registration of utility model

Ref document number: 5347294

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250