JP2007027791A - 原子層成長プロセスのための処理チャンバ - Google Patents

原子層成長プロセスのための処理チャンバ Download PDF

Info

Publication number
JP2007027791A
JP2007027791A JP2006272499A JP2006272499A JP2007027791A JP 2007027791 A JP2007027791 A JP 2007027791A JP 2006272499 A JP2006272499 A JP 2006272499A JP 2006272499 A JP2006272499 A JP 2006272499A JP 2007027791 A JP2007027791 A JP 2007027791A
Authority
JP
Japan
Prior art keywords
substrate
processing
chamber
pedestal
cross
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006272499A
Other languages
English (en)
Other versions
JP2007027791A5 (ja
Inventor
Kenneth Doering
ケネス・ドウエリング
Carl J Galewski
カール・ジエイ・ガリユウスキー
Prasad N Gadgil
プラサツド・エヌ・ガツトジル
Thomas E Seidel
トーマス・イー・シーデル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genus Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Publication of JP2007027791A publication Critical patent/JP2007027791A/ja
Publication of JP2007027791A5 publication Critical patent/JP2007027791A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】ALD技術の現在の制限を効率的に解決および解消して、ALDシステムを商業上実現可能にするシステムアプローチを教示する。
【解決手段】標準的なクラスタツール1100に適用可能な処理ステーション1201が、ヒータプレート1303を含むウェーハ支持表面1307をもつ垂直移動可能なペデスタル1215を備える。下部位置では、処理ステーション1201との間でウェーハ1219が搬送されることができ、上部位置で、ペデスタル1215は、処理チャンバ1204にある下部円形開口とともに環状排気通路を形成する。処理チャンバ1204の下部開口にある取り替え可能なリング1253により、プロセス排気速度を異なるプロセスに合わせることができる。ペデスタル1215は、ペデスタル1215の周辺に環状排気通路を規定する周囲側板1257も備える。
【選択図】図11D

Description

本発明は、化学気相成長(CVD)を実行するための装置および方法に関し、さらに詳しく言えば、原子層成長(Atomic Layer Deposition、ALD)プロセスに関する。
(関連出願のクロスリファレンス)
本発明は、「原子層成長のためのの垂直積層型プロセスリアクタおよびクラスタツールシステム(Vertically−Stacked Process Reactor and Cluster Tool System for Atomic Layer Diposition)」という発明の名称の、1997年8月29日に出願された同時係属中の米国特許出願第08/920、708号と、「化学気相成長プロセスのための多目的処理チャンバ(Multipurpose Processing Chamber for Chemical Vapor Deposition Processes)」という発明の名称である1997年3月3日に出願された米国特許出願第08/810、255号であり、1999年1月5日に発行された米国特許第5、855、675号の一部継続出願である。上記に挙げた親出願の内容全体は、参照により本願明細書に組み込まれたものとされ、上記出願日の優先権は主張される。
堆積層の薄膜化が要求される薄膜技術において、さまざまな半導体デバイスを製造するさいに基板を被覆するための装置を製造するメーカーにより開発された技術が明らかになる中、基板の面積増大、生産量の増加、生産性の向上が進む上で、従来そして現在でもそれらの原動力となるものは、良好な均一性である。例えば、マイクロプロセッサの生産工程で達成されるプロセス制御および均一な膜堆積は、達成可能なクロック周波数に直接影響を及ぼす。これらの同じ要因を新材料と組み合わせると、単一のチップまたはデバイス上で利用可能なメモリの記録密度も高くなる。これらのデバイスの小型化が進むにつれ、層厚に関する優れた均一性およびプロセス制御への要求が飛躍的に高くなる。
集積回路(IC)の製造ステップにおいて、基板または他の基板に薄膜を付けるためのさまざまな技術が当業者に知られている。薄膜を付けることに利用可能なより確立された技術の中に、化学気相成長(CVD)および急速熱処理化学気相成長(Rapid Thermal Chemical Vapor Deposition、RTCVD)として知られる変形技術が、よく用いられ商業化されているプロセスである。CVDの変形技術である原子層成長(ALD)は、均一性、優れたステップカバレッジ、および基板サイズへの透明性を達成する潜在的に優れた方法として現在現れた比較的新しい技術である。しかしながら、ALDの成膜速度は、CVDおよびRTCVD(通常、約1000A°/min)よりも一般的に遅い(通常、約100A°/min)。
CVDおよびRTCVDはともに、基板表面上に均一の厚みをもつ所望の層を形成するために、特定の均一な基板温度、および前駆体(化学種)を処理チャンバ内で均一な状態にする必要がある流量依存性の応用である。これらの要求は、基板サイズが増大するとさらに重大になり、適度な均一性を保つために、チャンバの構成やガスフロー技術をより複雑にする必要性が生じてしまう。例えば、リアクタチャンバにおいて処理される75mm基板では、同じシステムで200mm基板が必要とするものよりも、ガスフロー、均一な熱、および前駆体の分配に関するより高いプロセス制御が必要とされず、この基板サイズは300mm直径へと進みつつあり、さらに400mm直径への兆しが見えてきている状態である。
反応物と反応生成物とが、堆積表面に近接した位置に共存するCVD被覆での別の問題は、各堆積層に反応性生物や他の汚染物質が含まれてしまう可能性である。また、CVDでは反応物利用効率が低く、チャンバ圧力が低下することにより悪影響を受ける。さらに、前駆体分子の反応性が高いと、均質な気相反応に寄与し、膜質に不利益を与える望ましくない粒子が生成される可能性がある。
RTCVDプロセスを採用している会社やRTCVD装置のメーカーは、反応チャンバに単一の基板を配置した後、薄膜を堆積するのに適切な放射源を用いて急速加熱を行う、限定反応処理(Limited Reaction Processing、LRP)の概念を導入することにより、これらの問題の解決を試みている。急速加熱は、反応性スイッチとして作用し、他の一部のプロセスよりも膜厚に対する制御度がかなり高くなる。RTCVDも、プロセス時間がより短く、プロセスコストが一般に低く、プロセス制御が向上される点でCVDよりも優れている。本特許出願時点では、RTCVDは、超薄で均一な膜を堆積するのに将来有望な新技術である。RTCVDは、数多くの装置メーカーにより研究開発段階から市場へと着実に導入されつつある。
RTCVDは、一般的なCVDよりも明らかに優れた利点をいくつか備えているが、処理時に使用する温度など、この技術にも固有の問題がある。表面積が大きくなると、温度制御をより厳密に行う必要が生じ、制御されなければ、基板の反りや位置ずれが生じる。また、大きな表面積が要求されると、汚染物質がなく、高速の温度変化とともに高真空に耐えることが可能な適切なチャンバを提供するという難題がより深刻になる。
薄膜技術のさらなる別の重大な部分は、多くのデバイスに固有の複雑なトポロジーに対して、高度の均一性を与え厚みの制御を行うシステムの能力である。この現象を、通常、ステップカバレッジという。CVDの場合、ステップカバレッジは、見通し線の物理気相成長(PVD)プロセスより優れているが、堆積の初期段階では、さまざまな反応性分子を非優先的に同時に吸着し、離散した核生成を生じる。核生成された領域(アイランド)は、横方向と縦方向に成長を続け、最終的には混ざり合って、連続した膜を形成する。成長の初期段階では、このような膜は不連続である。分子の平均自由行程、臨界トポロジー寸法、および前駆体の反応性などの他の要因により、処理がさらに複雑になり、CVDを介して堆積される超薄膜の複雑なトポロジーに十分なステップカバレッジで高度の均一性を得ることが本質的に困難になる。RTCVDは、ステップカバレッジにおいて従来のCVDよりも著しく優れたものであるとは示されていない。
CVDまたはRTCVDよりもプロセス速度は遅いが、ALDは、複雑なトポロジーに超均一な薄膜堆積層を維持する著しい能力を備えている。この少なくとも1つの理由として、ALDが、CVDやRTCVDに関して前述したような流量依存性のものではないことが挙げられる。このようなALDの流量独立性により、従来のCVDおよびRTCVDプロセスよりも低温で処理を行うことができる。
ALDプロセスは、基板の堆積表面での化学吸着により進む。ALDの技術は、エレクトロルミネッセンスディスプレイデバイス用に、ZnSの多結晶およびアモルファス膜および誘電体酸化物を成長させるために、1980年代初期に開発された原子層エピタキシー(Atomic Layer Epitaxy、ALE)の概念に基づくものである。ALDの技術は、化学吸着により反応性前駆体分子の飽和単一層を形成する原理に基づいている。ALDにおいて、適切な反応性前駆体は、堆積チャンバ内に交互にパルス送出される。反応性前駆体の各投入は、不活性ガスパージにより分離される。前駆体をそれぞれ投入すると、前に堆積された層に新しい原子層が付加されて、均一な固体膜の層を形成する。このサイクルが繰り返されて、所望の膜厚を形成する。
ALDに取り入れた基礎概念について述べている原子層エピタキシーの分野における優れた参考文献は、Tuomo Suntola著、Handbook of Crystal Growth、Vol.3、D.T.J.Hurle編、1994 Elsevier Science B.V.の第14章である。この章のタイトルは、「原子層エピタキシー(Atomic Layer Epitaxy)」である。この参考文献の内容全体は、背景情報として本願明細書に参照により引用されたものとする。
ALDの一般的な概念をさらに説明するために、ここで図1aおよび図1bを参照する。図1aは、材料AおよびBの膜を形成するためのALDプロセスの初期段階での基板表面の断面図を示すもので、この例では、材料は元素材料と考えてよい。図1aに示す基板は、集積回路の生産段階における基板であってよい。元素Aの固体層が、最初の基板表面上に形成される。A層上には、元素Bの層が付けられ、図示されている処理段階において、リガンドyの最上層がある。これらの層は、第1の前駆体ガスAxと第2の前駆体ガスByを、表面の領域に交互にパルス送出することにより、基板表面上に設けられる。前駆体のパルス間に、プロセス領域が排気され、パージガスのパルスが投入される。
図1bは、この例において、AB固体材料を供給するために使用される交互にパルス送出する処理における1回の完全なサイクルを示す。サイクルでは、ガスAxの第1のパルスがなされた後、ガスが投入されない移行時間が続く。その後、パージガスの中間パルスがなされた後、別の移行が続く。その後、ガスByがパルス送出され、移行時間が続き、再度パージパルスがなされる。このように、1サイクルには、1パルス分のAxと1パルス分のBYが取り込まれ、それぞれの前駆体パルス間はパージガスパルスで分離されている。
上記に簡潔に記載したように、ALDは化学吸着により進む。初期の基板は、活性リガンドの表面をプロセス領域に与える。第1のガスパルス、この場合はAxは、Aの層とリガンドxとの表面になる。パージ後、Byが反応領域にパルス送出される。yリガンドはxリガンドと反応し、xyを放出して、図1aに示されているように、yの表面を残す。このプロセスはサイクルごとに進み、この例では各サイクルにかかる時間は約1秒である。
ALDがもつ膜形成の独特のメカニズムにより、前述した技術よりも優れた利点がいくつか得られる。1つの利点は、基板サイズの透明性およびリアクタ構成と操作の単純性に寄与する、ALDの流量独立性から生じるものである。例えば、200mm基板は、上述した自己限定化学吸着現象により、同じリアクタチャンバで処理される100mm基板上に堆積されたものと厚みが等しい均一な層を得る。さらに、堆積領域は、飽和単一層が形成されると、分配される前駆体の量からほぼ独立したものである。これにより、単純なリアクタ構成を実現できる。さらに、ALDプロセスでの気体力学の役割は比較的重要なものではないため、構成制限が緩和される。ALDプロセスの別の明確な利点は、化学種が一緒にではなくALDリアクタに独立して投入されるため、互いに高反応性の前駆体を避けることができる。CVDでは面倒な高反応性は、ALDの利点に利用される。このように反応性が高いことにより、反応温度を低下させ、プロセス化学の開発を単純化することができる。さらなる別の明確な利点は、化学吸着による表面反応が、複雑なトポロジーに対して完全に近いステップカバレッジに寄与することである。
ALDが、膜堆積に関して上述した利点を備えていると広く考えられていても、ALDは依然として商業的プロセスに受け入れられていない。その理由の多くは、システム態様とアーキテクチャに関係する。例えば、ALDシステムの開発を開始したものの多くは、バッチプロセッサのアプローチをとっている。これは、ALDが、CVDやRTCVDなどの競合するプロセスより性質上成膜速度が遅いことが主な理由である。バッチ反応チャンバにおいていくつかの基板を同時に(並列)処理することにより、スループットを高めることができる。
残念ながら、バッチ処理にも固有の欠点がいくつかあり、バッチ処理によりALDのスルーップットの制限を解決すると、次々と別の問題が生じてくる。例えば、バッチプロセッサシステムにおいて、基板から基板やバッチからバッチへとバッチリアクタにおいて基板が相互汚染されることにより、深刻な問題が生じる。バッチ処理はまた、プロセス制御、基板から基板やバッチからバッチへのプロセス再現性を抑制し、背面堆積への解決を不可能にする。これらの要因はすべて、システム全体のメインテナンス、歩留まり、信頼性、ひいては正味のスループットおよび生産性にかなり影響する。本特許出願の時点では、商業製品に適用されているALD技術に関連するこれらの問題を解消するための解決策は、当業者に知られていない。
明らかに必要とされているものは、複数の基板を処理しながらも、有効なスループットおよび歩留まりを提供することができると同時に、従来通り高価なクリーンルームとそれに関連する生産床面積を用いる、独特かつ新規の高生産性ALDシステムアーキテクチャおよびガス分配システムである。本発明は、ALD技術の現在の制限を効率的に解決および解消して、ALDシステムを商業上実現可能にするシステムアプローチを教示する。
本発明の好適な実施形態において、第1の断面積を有する下縁を備えた処理チャンバ部分と、処理チャンバ部分の下に位置され、第1の断面積よりも大きい真空排気ポート、基板搬送ポート、および処理チャンバの円形下縁の下に位置する第2の断面積を備えるベースチャンバ部分と、第1の断面積よりも小さい第3の断面積をもつ上側基板支持表面を備え、垂直方向の並進移動を可能にするダイナミック真空シールにより、搬送ポートの下でベースチャンバ部分に適用された基板支持ペデスタルと、処理チャンバの円形下縁と実質的に同一平面の処理位置か、または排気ポートの上方であり搬送ポートの下方であるベースチャンバ部分内の下側搬送位置に、上側支持表面を位置させるように基板支持ペデスタルを並進移動するように適用された垂直並進移動ドライブシステムと、処理チャンバに取り付けられ、原子層成長(ALD)プロトコルによりガスを供給する取り外し可能なガス供給リッドとを具備する、クラスタツールシステムのためのALD処理ステーションが提供される。基板支持ペデスタルが処理位置にあるときに、基板支持ペデスタルの断面積と、より大きい第1の断面積とが、処理チャンバ部分から真空排気ポートを介した第1の制限された排気速度を決定する第1の全有効面積を有する第1の排気通路を形成し、また、基板支持ペデスタルが下方搬送位置にあるときに、基板支持ペデスタルの断面積と、より大きい第2の断面積とが、第1の有効面積よりも大きな第2の有効面積をもつ第2の環状排気通路を形成して、処理チャンバからの第2の排気速度を第1の制限された排気速度よりも速くすることが可能にする。
いくつかの実施形態において、第1の断面積が、交換可能なリングにより形成されることにより、一定の外径および異なる内径とを有する交換可能なリングを交換することにより、第1の排気速度が速くなるように変更され得る。また、上側支持表面から始まり上側支持表面の下方に延伸する基板ペデスタルの一部を取り囲む環状側板があり、上側支持表面の高さにある環状側板の排気面積は、第1の断面積と実質的に等しいため、基板支持ペデスタルが処理位置にあるとき、環状側板が第1の断面積と結合して、処理チャンバからのすべてのガスフローを、環状側板と基板支持ペデスタル間の環状側板内に流すように抑制する。
好適な実施形態において、処理チャンバの上縁を閉じる取り外し可能なリッドが、リッドとダイナミック真空シールを取り外し可能であるように取り外し可能なシールで取り付けられることにより、基板支持ペデスタルを、ベースチャンバ領域内から処理チャンバ領域を介して上方に引き出すことができる。好適な実施形態において、取り外し可能なリッドが、基板支持ペデスタルが処理位置にあるとき、基板支持ペデスタル上に支持されている露出された基板表面にわたって均等に処理ガスを供給するためのガス分配システムを備える。
場合によっては、基板支持ペデスタルが、上側支持表面と平行であり処理チャンバのための真空境界を形成する閉塞プレートと、閉塞プレートとは断熱された処理チャンバ側にあるヒータプレートと、ヒータプレートの上方に、これとは離間して配置され、上側支持表面を形成する電気的に絶縁されたサセプタとを備える。ヒータプレートが、少なくとも2つの別々に電力が供給される加熱領域をもつ複合ヒータプレートであってよく、別々に電力が供給される領域への電力を管理することにより、プレート全体にわたっての温度プロファイルを管理することができる。これらの態様において、内側加熱領域が、ヒータプレートを実質的に介して少なくとも1つの溝により外側加熱領域から分離される。好適な実施形態において、内側加熱領域が、ヒータプレートにより加熱される基板の断面積と実質的に等しい断面積をもつ。いくつかの好適な場合において、ダイナミック真空シールが、ステンレス鋼製のベローズである。
さまざまな実施形態において、本発明により、半導体ウェーハ上でALD処理を達成するための柔軟かつ有効な方法が提供され、本発明のさまざまな態様は、以下に詳細に教示される。
個々に積層可能なモジュールを用いた原子層成長システム
原子層成長技術の商業化を考慮するさい、被覆する基板を異なる平面に配設し、比較的多数の基板を単一のリアクタで同時に被覆するシステムを一般に意味するバッチタイプのALDシステムは、スループットの観点から魅力的なものであったが、これらの種類の大型バッチシステムは、以下のいくつかの実施形態において教示されているように、単一のガス供給経路を備えるコンパクトロープロファイルシステムと比較すると、本願発明者が指摘するようにいくつかの深刻な欠点を備えることが明らかである。これらの欠点の中には以下のものがある。
(a)バッチシステムでのガスパルス送出を、コンパクトなシングル基板システムほど高速かつ鋭くすることができない。
(b)マルチ基板システムでは、背面堆積を防ぐことが困難である。背面堆積を防ぐためには、静電チャックなどの装置を含む専用ヒータ上に個々の基板を締め付ける必要がある。
(c)シングル基板システムと比較すると、大型のバッチシステムではプラズマクリーニングが非効率的であることが分かっている。インシチュプラズマクリーンにより、メインテナンスクリーニング間の時間を非常に長くできる。
(d)ガス消耗の影響が、バッチプロセスリアクタでは厳しいプロセス制限となりえ、バッチシステムにおける処理が困難である。
(e)バッチプロセッサは、プロセス制御、基板から基板への再現性、プロセス変動、およびメインテナンスの点でシングル基板システムより柔軟性がない。また、バッチプロセッサは、比較的小さなフットプリントクラスタリングアーキテクチャ構成に容易に適合しえない。
上記および他の利用により、本願発明者は、内部容積と外部高さをともに低減し、高速ガススイッチングと高度のプロセス制御を可能にするとともに、独特のシステムアーキテクチャを可能にしたロープロファイルコンパクトALDリアクタ(Low Profile Compact ALD Reactor、LP−CAR)を備えるALD処理への独特のアプローチを見い出した。実現可能な独特のアーキテクチャは、連続して一体化するクラスタリング手法に適応可能な垂直積層型マルチユニットシステムを備える。
以下に記載する実施形態において、本願発明者は、独特のロープロファイルコンパクトリアクタと、生産のさいにALDリアクタを使用するための独特のシステムアーキテクチャを開示し、バッチタイプのALDシステムの限定特徴を処理し解決する。
本発明の実施形態におけるLP−CARの独特の構成において、一つに、リアクタにおいて被覆されるように与えられた表面積に対して、リアクタの内部プロセス容積を最小限に抑えることにより、ガススイッチングを高速化することで、高スループットが増強される。シングル基板リアクタの長さと幅は、通常は、基板直径(基板が円形の場合)の約1.5倍になるように、収容する基板の最大サイズにより決められるのに対して、リアクタの内部高さは、内部容積の制御寸法である。本発明の実施形態において、本願発明者は、一般的に被覆する表面を共通する表面に設けることが要求される、被覆する基板表面への単一の邪魔されることないガス供給経路を備える利点を認識している。
境界層の条件および適切なガスフローは達成されなければならず、代替的なプラズマリッド構成を備えることが望ましい。ALDプロセスはまた、処理中基板を加熱するためにプロセス容積に基板ヒータを設ける必要があり、さらにガス分配およびガス排気サブシステムへの特別な要求がある。これらの要求がすべて満たされたと仮定すると、本発明の実施形態において、シングル基板処理に適したロープロファイルのコンパクトALDリアクタ(LP−CAR)が提供される。以下に記載する本発明の実施形態において、ロープロファイルとは、水平寸法と対比させたリアクタの高さとして規定する。本発明の異なる実施形態において、LP−CARの高さと水平寸法の比は、特定のシステム要求に応じて変動するものであってよい。しかしながら、以下の実施形態において、高さと水平寸法の比は、通常1より小さく、0.2と同程度に小さいものであってよい。本願明細書に記載する実施形態においては、約0.65の比がより一般的である。
本発明の実施形態において、LP−CARは、独立制御可能なリアクタであり、処理シーケンスにおいてスループット要求と所望の柔軟性を満たすための、独特のアーキテクチャにおける基本要素(building block)として使用されてよい。好適なシステムの実施形態におけるLP−CARは、垂直方向に積層されることにより、非常に高価なプロセス床面積を効率的に使用することができる。垂直積層アーキテクチャは、本願発明者等により、VESCAR(登録商標)(垂直積層型コンパクトALDリアクタ(vertically−stacked compact ALD reactor))と称する。
以下に詳細に教示するいくつかの実施形態において、VESCARシステムは、独立型の構成のものであってよく、カセットロードロックサブシステムを介して、VECARユニットとの間で基板の受け渡しが行われる。他の実施形態では、1以上のロードロックおよび1以上のVESCARユニットが、クラスタツール取り扱いシステムに結合され、このシステムは、CVD、PVD、クリーニング、リソグラフィなどのALD以外の処理サブシステムを備えるものであってよい。
図2は、実質的に単一面に設けた被覆する基板表面と、基板表面への単一のガスフロー経路とを備える、本発明の実施形態によるコンパクトリアクタユニット33のほぼ略図的な等角投影図である。本発明の好適な実施形態におけるリアクタユニットの片面上にある基板I/O(入/出)開口53が、上述し以下にさらに記載するように、仕切弁を備えられる。
適所に装填された処理が施される基板45上のガスフローは、上部で成長が行われる基板45の表面に実質的に平行な水平方向に流れ、片側(ガス入)から入り反対側(ガス出)から出る。図1bに関して記載したように、前駆体(化学種)が、リアクタユニット33に交互にパルス送出された後、ガスパージが後に続く。この実施形態では、ガスフローは、図にある方向矢印で示されているように、右(ガス入)から左(ガス出)へと流れる。別の実施形態において、ガスフローは、左から右に流れるものであってよい。一実施形態において、個々のコンパクトリアクタは、リアクタ本体に形成された吸気および排気マニホールドを備える。
コンパクトリアクタユニット33は、ステンレス鋼、アルミニウム、複合グラファイトなどの当業者に知られている任意の適切な材料や、適用した真空を維持し、当業者に知られている成長チャンバの適切な特性を与えるのに適すると考えられる他の材料から作られたものであってよい。一実施形態において、リアクタユニット33は、真空下での強度を追加する目的で構造上のリブで強化されてよい。図示している実施形態のコンパクトリアクタユニット33は、全高さhを有し、成長を行うために少なくとも1枚の基板を収容するような幅と深さのものである。スケーリングは、非常に小さいものから最大400mm直径のものか、またはそれを超えるものまで、異なるサイズの基板ごとに行われてよい。
水平寸法の点からみて、hで表される基板保持領域49の実際の高さは、ガスパルス送出と処理が行われるリアクタの内部容積を規定するため、非常に重要なパラメータである。外部高さは、以下にさらに詳細に記載するシステムアーキテクチャにおいて、上記に簡潔に記載したように、リアクタの積層を容易にするロープロファイルとなるように制御される。本発明の実施形態によるLP−CARユニットにおける反応領域の内部高さは、被覆する基板表面積に対する容積を実質的に最小限にするように別々に制御されることで、ガスが最大限に利用され、ガススイッチングが高速化される。より一般的には、本願発明者が発見した重大な問題は、表面飽和を確保するのに十分な前駆体の量を供給しながら、ガスが過剰になることなく、被覆する表面へのガス分配の速度を最大限にしなければならないことである。これを最良に達成するために、リアクタの内部形状を、リアクタ内に投入された前進するガスの波面の断面をさらに均一にし、内部容積を最小限に抑え、基板表面に対してガスフローが邪魔されないように、被覆する表面上のガス経路に十分な隙間を設けるものにする。
300mmの基板直径に対して提供されるLP−CARにおいて、本発明の実施形態の内部高さは、約1インチのものが好ましいが、実施形態ごとにある程度変動するものであってよい。本願発明者等により、反応領域の内部高さ対水平内部寸法の比は、ガススイッチングを高速化し前駆体を効率的に利用するために、約0.25を超えないことが好ましい。
いくつかの実施形態では、基板を支持するために、基板保持領域49の底面に伸縮自在の基板リフトピン(図示せず)が配置されている。基板保持領域49にある基板リフトピンの数は、通常、3つ以上であり、これらのピンは、基板を水平方向に支持するようなパターンに配列される。
基板リフトピンは、RTCVDなど、プロセスにおいてリアクタチャンバ内に水平方向に基板を支持するために広く使用されている。いくつかの実施形態において、基板リフトピンは、基板保持トレイの部品である。他の実施形態において、基板リフトピンは、リアクタチャンバに作られる。通常、基板リフトピンは、小さなヒートシンク領域を提供する目的で、表面被覆に異常を発生させないように、基板表面の一点に接触する。この方法は、RTCVDなどの多量の熱を用いるプロセスや、基板の両面を同時に処理する場合にさらに重大である。いくつかの実施形態では、処理中、基板が背面堆積されないように、適切な加熱能力を備えた平坦な静電チャック(ESC)が使用されてよい。
コンパクトリアクタユニット33は、基板処理中加熱され冷却される。領域51は、抵抗加熱コイルなどの加熱デバイスが収容されるヒータコンパートメントを表す。領域47は、リアクタユニット33の最上面を通る冷却ラインからなる。さまざまなプロセスで使用される化学種または前駆体が異なれば、プロセス中にコンパクトリアクタユニット33内に維持する温度を変える必要があることは、当業者に明らかであろう。したがって、本願発明者により、成長の当業者に知られているさまざまな加熱および冷却方法は、本発明のさまざまな実施形態に応用可能であることが意図されている。同様に、領域51は、例えば、インシチュアニーリングなどを行うのに必要とされるように、異なる時間に熱レベルを分配するのに必要な2種以上の加熱要素を収容してよい。
図3aは、開口53を遮蔽および露出するようにフラップタイプの遠隔操作可能な弁52を設けた、本発明の実施形態による図2のコンパクトリアクタユニット33の簡略化した等角投影図である。この弁は、処理を行うさいには閉じられ、LP−CARとの間で基板の搬入および搬出を行うさいには開かれる。この実施形態では、開口53を取り囲む真空シール46があり、これは、いくつかの実施形態においては、Oリング、クワドリング、金属シール、または当業者に知られている他の真空シールであってよい。動作中にユニットを隔離するために、真空シールに近接した位置に弁52が設けられる。一実施形態において、仕切弁52の後方にフランジ54が配置され、以下にさらに詳細に記載するように、生産アーキテクチャにおいて真空チャンバの境界壁の非真空側に対して密封するように設けられた真空シール48も備える。
弁52などの仕切弁を自動制御するための当業者に知られているさまざまな方法がある。本発明の好適な実施形態において、仕切弁はフラップタイプの弁であり、カム操作電気メカニズム(図示せず)が、リアクタユニット33の壁や弁ドア上のピボットアーム(図示せず)に設けられ取り付けられている。動作時に、非真空側からリアクタ33の本体を電気リードが通る。本発明の趣旨および範囲から逸脱することなく、仕切弁52を開閉するためのカムタイプのデバイスを取り付けるために、さまざまな取付方法がとられてよい。電気作動式カムデバイスは、当業者とともに本願発明者にも一般的かつ知られているものである。
ここに示す実施形態は、LP−CAR33を、I/O開口用の仕切弁に設ける方法の一例にすぎない。別の実施形態において、フラップタイプのドアが、下方からではなく上方から旋回されるように設けられてよい。さらなる別の実施形態において、フラップタイプのドアの代わりに、カム操作スライドドアが組み込まれてよい。好適な実施形態においては、構成が単純で実行が容易である点から、フラップタイプのドアが使用される。
フランジ54と仕切弁52の実際の形状は、本発明の趣旨および範囲から逸脱することなく、かなり変化するものであってよいことは、当業者に明らかであろう。例えば、フランジ54は、丸められた矩形、おそらく楕円形状のものであってよい。同様に、仕切弁52は、上述したもの以外の形状のものであってよい。いくつかの実施形態において、リアクタ本体の一体部分としてフランジを用いることなく、密封境界が設けられてよい。
図3bは、本発明の実施形態による図3aに記載する2つのコンパクトリアクタユニットの側面立面図であり、フランジ54とともに形成された真空境界と、真空チャンバの境界壁42とを示す。非真空側では、積層用取付具またはラック(図3bには図示せず)が使用されて、リアクタユニット33aおよび33bと、本発明の実施形態によるVESCARシステムの一部であってよい図3bには図示しない他のリアクタユニットを支持する。垂直構造にリアクタユニットを支持し間隔をとるために使用する積層用取付具またはラックが、ステンレス鋼などの任意の耐久性材料や、個々のリアクタユニットを支持でき、発生する可能性のある寸法変化に対応可能であるという条件を満たす任意の他の適切な材料から作られてよいことは、当業者に明らかであろう。システムにおいて共有境界につながれる1以上の構成部品を位置決めするために使用される取付具は、当業者に比較的一般的であり知られているものである。本発明のこの実施形態における積層用取付具の重要な特性は、基板を上手く繰り返して搬送しやすくするように、リアクタユニットを等しく正確に間隔をとることが可能なことと、重量を支えることが可能なことである。一実施形態において、適切な位置決めを行うために取り外し可能なスペーサを備えたラック形状の取付具が用いられてよい。別の実施形態において、ネジなどを調節することにより正確な間隔をとってよい。
さまざまな実施形態において、垂直に積層させたLP−CARユニットの間隔は、各リアクタの下部高温領域と各隣接するリアクタの上部冷却領域との間を断熱可能なものでなければならない。同様に、積層体の最上位置と最下位置にあるLP−CARは、積層体にある他のリアクタと同様の熱環境のものでなければならない。
図3bに示されているチャンバ壁42の左側にある領域は、以下に記載する垂直積層型システムにおける真空搬送チャンバの真空領域である。従来の固定技術やソケットヘッドスクリューなどのハードウェアにより、フランジ54がチャンバ壁に固定される。代替実施形態において、フランジ54が、接合フランジに締め付けられて接触面を形成するように、溶接などによりチャンバ壁に接合フランジが固着されてよい。このような場合、接合フランジは、フランジ54にある開口に一致する整列ピンを備えるものであってよい。可能な構成は多数あり、そのうちの一部しか本願明細書に記載していないことは、当業者には明らかであろう。
図4は、本発明の生産システムの実施形態における、カセットロードロック21に直接つながれたVESCARシステム27の立面図である。この実施形態において、カセットロードロックには、予め処理された基板が装填される。このアーキテクチャでは、クリーンルーム環境をプロセスルームと分離する壁に、カセットロードロック21とVESCARユニット27をつなぐ穴がある。非常に高価なクリーンルーム空間を保護するためのこのような種類のクリーンルームの境界は、生産システムの当業者に知られているものである。
VESCARシステム27は、壁42(図3bも参照)をもつ真空取り扱いチャンバ32と、水平方向と垂直方向に伸縮可能なZ軸ロボット31とを備え、カセットロードロック21に延伸して図示されている。Z軸ロボット31が基板を拾い上げ、基板をVESCARユニット27に移動させるように、予め処理された基板を装填したカセット79が位置決めされる。VESCAR27内に入ると、Z軸ロボット31が180度回転し、リアクタユニットに基板を配置するのに適切な垂直位置まで延び、10個のリアクタユニット(a〜j)が、真空壁42につながれた垂直積層型アーキテクチャに示されている。
図4に示されているように、順次上に積み上げた10個のLP−CARユニットが、スループットの要求を満たすと同時に床面積を維持できる、効果的な数であると本願発明者により考えられている。本発明のいくつかの実施形態において、Z軸ロボット31と関連する2つ以上の伸張搬送アーム、および/またはスループットにあらゆる搬送制限がかからないようにする2つ以上のエンドエフェクタがある。仕上げ基板は、上述した装填プロセスとは逆の順序で取り外され、仕上げ基板は、カセット79に配置される。
図4のVESCARアーキテクチャは、コストを最小限に抑えた解決策であり、より高度のVESCARアーキテクチャにさらに統合するための出発点である。また、図示したアーキテクチャは、複数のLP−CARユニットを用いたプロセスシーケンスなどを開発するさいに使用するための、良好なプロセス研究開発の構成である。図4のVESCARシステムにおいて開発されたプロセスは、以下に記載するようなより高度の処理手法へと拡大されてよい。
図5は、本発明の一実施形態によるALD生産システム19の立面図である。本願明細書に記載するさまざまなコンポーネントを独特に組み合わせて自動化することにより、従来のALDリアクタで利用可能なシステムアーキテクチャに関連する障害が効果的に解消される。以下に記載し教示される実施形態により、遅い成膜速度、不足した生産スペースの使用などの問題や、現在のALD応用や競合するプロセスが直面する他の問題への実質的な解決策が得られる。
以下、図5を参照すると、図4を参照して上述したように、VESCAR27が、個々のコンパクトリアクタユニット33a〜jを取り付けるための垂直境界がある真空チャンバ32を備える。コンパクトリアクタユニット33a〜jは、別々に真空チャンバと一体化させて適切な真空を持続するように適用される。各コンパクトリアクタユニット33a〜jにあるフラップタイプの仕切弁により、別々に排気でき(仕切弁を閉じ)、真空チャンバ32の真空を共有する(仕切弁を開く)ことができる。各リアクタユニットを個々に設けることで、真空、パージ、およびプロセスガスフローが可能となり、上述したような装填および取り外し用のフラップタイプの弁を含む適切な弁動作により、チャンバ32との間、そして垂直積層型リアクタとの間で、基板の搬入および搬出が可能となる。
また、本発明の趣旨および範囲から逸脱することなく、図4および図5に示す数と比較して、VESCAR27に垂直方向に積層され存在するコンパクトリアクタユニットの数が多くても少なくてもよいことは、当業者に明らかであろう。図5を参照して記載する実施形態において、10個のコンパクトリアクタユニット33a〜jがあるが、本発明の実施するさい、知られている商業上のプロセスに対して競合できる高スループットを得やすくするのに適すると考えられる程度の数のコンパクトリアクタユニットが、VESCAR27に取り込まれてよい。その数は、実際のところ、利用可能な垂直空間により限定され、この目的専用の取り扱い装置の範囲と一致するものでなければならない。
Z軸ロボット31が、コンパクトリアクタユニット33a〜jに対して基板を自動的に装填および取り外し、他の材料取り扱い装置とつなぎ合わせるためのチャンバ32に設けられる。Z軸ロボット31は、垂直方向と水平方向の位置に伸張可能であり、各コンパクトリアクタユニット33a〜jと結合作動させるようにプログラムされる。Z軸ロボット31はまた、この実施形態において、任意の所望のシーケンスで基板をリアクタに装填するようにプログラムされてよい。例えば、基板は、下から上へ、上から下へ、真中から上へなど装填されよい。さらに、基板は、1つのコンパクトリアクタユニットから取り外されて、別のコンパクトリアクタユニットへと再度装填されてよい。あらゆるシーケンスが可能である。いくつかの実施形態において、単一のZ軸ロボットと関連するエンドエフェクタなどの複数の基板取り扱いデバイスがある。
コンパクトリアクタユニット33a〜jは、チャンバの1つの壁に沿ってチャンバ32につなぎ合わされ、Z軸ロボットによる装填および取り外しのエラーがないように慎重に間隔がとられる。リアクタは、真空密閉されたチャンバにつながり、さらなる図面を参照してさらに詳細に以下に記載するように、チャンバ32の外側にあるラックアセンブリにより支持される。
この実施形態において、仕切弁29を介して、真空中央ロボット基板ハンドラ23が、VESCAR27とつなぎ合わされる。仕切弁29は、基板の搬送間にVESCARユニット27をクラスタツールハンドラから隔離できる真空弁である。回転メカニズム25により動作する搬送メカニズム43が、Z軸ロボット31との間で基板を装填し取り外す。図1にある搬送メカニズム43は、仕切弁29に延伸するように図示されている。図示している位置から180度の位置で、搬送メカニズム43は、予め処理された基板が装填され、仕上げ基板が取り外されるカセットロードロック21へと延伸してよい。ハンドラ23により示されたようなロボット基板取り扱いシステムは、数社のベンダー、例えば、Brooks Automation社、Equipe社およびSmart Machines社により市販されている。
本発明の好適な実施形態において、最初に、垂直方向に向いたカセットまたはラック(図示せず)にあるカセットロードロック21内に予備処理された基板が配置される。カセットロードロック21に予備処理された基板が位置した後、ロックが閉じ、真空ポート(図示せず)を介して一定の真空まで排気される。ロボットハンドラ23内の搬送容積も、図示されていない真空ポートを介して一定の真空まで排気される。真空チャンバ32は、同様の真空ポート(図示せず)を介して排気される。すべてのユニットが適切に排気されると、仕切弁35が開き、搬送メカニズム43がカセットロードロック21内に延伸して、一度に一枚の基板を引き出すことができる。カセットロードロック21内のカセットハンドラ(図示せず)が、予備処理された基板を保持する垂直方向のカセットを保持するプラットフォームを上昇または低下させることが可能である。
搬送メカニズム43が基板を引き出すとき、ロボットハンドラ容積内に後退して180度回転することにより、VESCAR27へと延伸してよい。通常、搬送間で仕切弁35は閉じているが、これは多くのプロセスフロー手法で厳密に必要とされていることではない。搬送メカニズムがVESCAR27にくると、仕切弁29が開き、搬送メカニズム43がZ軸ロボット31を介して基板を渡すことができる。その後、Z軸ロボット31は、基板を受け取り、垂直積層型コンパクトリアクタユニットの1つまたは別のユニットへと基板を装填し、それを続ける。
多くの動作手法が可能である。図示したアーキテクチャにある1つの好適な手法では、装填・取り外しカセット21の位置と同数のコンパクトALDリアクタをシステムが備えている。ロードロック21からすべての基板が、リアクタユニットに搬送されるまで搬送が続き(すべてのリアクタユニットがそれぞれ被覆する基板を備える)、間にある弁が閉じ、リアクタユニット33a〜jで処理が始まる。このシステムは、すべての基板を個々に隔離されたリアクタユニットにおいて処理しながら、バッチシステムの処理ステップを有する。
多くの他の手法が可能である。各コンパクトリアクタが隔離仕切弁を備えるため、基板が装填されるとすぐにリアクタ処理が始まる手法もある。他のプロセスフロー手法は、当業者に明らかであろう。
一実施形態において、チャンバ32に対して排気および隔離が個々に与えられるため、リアクタが装填されたときからリアクタユニットで処理が始まる前に、チャンバ32において、不活性ガスに流れ出ることにより、個々のリアクタのフラップタイプの弁の両端に圧力差を与えるのに十分なレベルまで圧力が上がり、上記以外の方法で可能なものと比較すると、個々のリアクタの弁にさらなる密封力が与えられる。
コンパクトリアクタユニット33a〜jですべてのプロセスが実行された後、各ユニットに取り付けられたフラップタイプ仕切弁(図3aの構成要素52)が開かれて、装填動作に関して上述したものと逆のプロセスで基板を取り外すことができる。通常、基板が引き出されたところと同じカセットに、一枚ずつ仕上がった基板が戻される。その後、ロック21は、弁35を閉じて通気されてよく、仕上がった基板のカセットロードが取り出されてよい。この処理は、カセットロードロック21に予備処理された基板を置く時点から、カセットロードロック21にある仕上がった基板を拾い上げる時点まで全自動化されている。仕切弁を開くことに関するタイミング特徴、搬送速度、1つまたは複数のプロセスの長さ(プロセスのシーケンスを含む)、排気シーケンス、および他の必要とされるコマンドは、一般に当業者に知られている技術によるソフトウェアおよびハードウェアを制御するプログラム可能な機能である。
一つの要因として、上述したように化学吸着により堆積表面上に層を形成する当業者に知られているALDプロセスの流量独立特性により、コンパクトリアクタユニット33a〜jなどのコンパクトリアクタユニットは、300mm基板などの最適のサイズの基板を受ける幅をもつように構成され得る。また、よりサイズが小さい基板は、コンパクトリアクタユニット33a〜jのサイズを小さくすることなく同じシステムで処理され得る。別の実施形態において、よりサイズの小さい基板を一度に一枚処理するために、小型のシステムが提供されてよく、またフラットパネルディスプレイなどの他の製品用に大型のシステムが提供されてよい。
本発明のいくつかの実施形態において、上記段落に記載したように、特定の基板サイズ用に開発されたLP−CARが、より小さいサイズの複数の基板を処理するために適用されてよい。図6は、点線の円70で示した名目上の基板サイズをもつ図3aに示すタイプのLP−CAR33の平面図である。本発明の代替実施形態にあるLP−CARユニットが、例えば、LP−CARユニット33にある基板70よりも小さい3つの基板72を処理するように適用されてよい。いくつかの実施形態において、基板72を共通の搬送点に配置し引き出せるように、ユニット33に回転チャックが設けられてよい。他の実施形態において、ロボット搬送デバイスが、炉床上の所望の位置で基板を配置させるように適用されてよい。さらなる他の実施形態において、複数の基板が、LP−CARユニットとの間で搬入および搬出が行われる共通のキャリヤにおいて取り扱われてよい。これにより、同じプロセス平面に複数の基板をもつ、単一基板LP−CAR構成を使用することができる。
上述した独特のアーキテクチャにより、現在の技術を用いてこれまで利用できなかった全自動化された商業化ALDプロセスが提供される。VESCAR27を利用することにより、CVD、PECVDなどの競合技術で得られるスループットと匹敵する高いプロセススループットを達成できる。また、ALDプロセスにより固有の均一性が向上し、バッチ技術に代わり個別のリアクタユニットが使用されることにより、相互汚染などに関連する問題を生じることなく、高い歩留まりを実現できる。これらの利点を達成するさいに、コンパクトユニットを垂直方向に積層することから、不足している生産床空間を非常に控えめに使用する。
図5を参照して記載して実施形態は、VESCAR27を用いて利用可能な装置の多数の可能な装置構成の一例を示しているにすぎない。この実施形態には1つのカセットロードロック21および1つのVESCAR27しか示していないが、ロボットハンドラ23上には、さらなるロードロックまたはVESCARユニットが追加されてよい、2つの追加位置がある。上述したような装置の追加に関しては、以下のさらなる実施形態においてさらに詳細に記載する。
図7は、CVD、クリーニングなどのさらなるプロセスを実行するために、さらなるVESCARユニットまたはカセットロードロックを、ロボットハンドラ23につなげている本発明の代替実施形態による、図5の生産システム19の上面図である。ロボットハンドラ23は、図7の位置A、B、C、Dとして示す4つの90度ごとの位置をもつ。位置Aは、図5を参照して記載したように、仕切弁35とカセットロードロック21に接続される。位置Bは、仕切弁75とカセットロードロック71に接続される。位置Cは、図1を参照して記載したように、仕切弁29とVESCARユニット27に接続される。位置Dは、仕切弁77と第2のVESCARユニット73に接続される。搬送メカニズム43は、図5の動作ユニット25により制御されることにより、各位置に到達するように回転される。図7において、メカニズム43は、VESCARユニット27において受け入れられる位置に、装填基板がある位置Cで延伸した状態が示されている。仕切弁29は、基板を搬送できる開位置にある。また、搬送メカニズム43は、位置Bにおいて仕切弁75とカセットロードロック71に向いた後退位置(点線で図示)にも示されている。この例では、搬送メカニズムは、カセットロードロック71から基板を取り出し、それをVESCARユニット27に配置した状態である。搬送メカニズム43は、基板をロードロックからVESCARユニットへと搬送し、ロードロックへと首尾良く戻すために、延伸、後退、回転および延伸を実行する点で、4つの位置すべてに対して同様に動作する。
一実施形態において、各プロセスモジュールにおいて異なるパラレル処理(1つのプロセスモジュールにあるすべてのリアクタユニットが、1つのプロセス専用のもの)を実行するように、3つのVESCARユニットおよび1つのカセットロードロックが利用されてよい。同様に、シリアル処理(1つのプロセスモジュールにある各リアクタユニットが、異なるプロセス専用のもの)が実行されてもよい。別の実施形態において、2つのカセットロードロックを組み合わせたシステムを用いて、1つのプロセスモジュールがシリアル処理専用のものであるのに対して、別のプロセスモジュールがパラレル処理専用であってよい。本発明の趣旨および範囲から逸脱することなく、生産システム19において利用可能な処理構成が多数あり、そのうちのいくつかを上述していることは、当業者には明らかであろう。
図8は、本発明の実施形態による、チャンバ壁42につながれた10個の垂直積層型リアクタユニットのうちの3個を示すVESCARシステム27の背面図である。ガスまたは蒸気材料をリアクタに供給するために、垂直積層型リアクタユニットの片側に、垂直向きのガス入口マニホールド55が示されている。本発明の好適な実施形態において、処理中、複数の前駆体および不活性ガスがリアクタユニット33内に交互にパルス送入されるが、同図には、図面が複雑にならないように、1つのマニホールド55しか示されていない。本発明を実際に実施するさいには、各前駆体ガスまたは蒸気に対して1つのマニホールドが使用され、パージガスに対して少なくとも1つが使用される。したがって、通常、最低でも3つのマニホールドが使用されることになる。
本発明の好適な実施形態において、供給するガスまたは蒸気のそれぞれに対して各リアクタに供給する量を制御するために、弁を備えた充填管が使用される。図8には、そのような充填管62が1つ示されている。これらの別々の充填管は、所定の容量ものであり、圧力および温度を制御したガスまたは蒸気で充填されるため、ガスまたは蒸気の分子数が分かる。各充填管は、充填管62の場合、充填弁54および噴射弁61である2つの弁により隔離される。噴射弁61を開くと、充填管の部分の充填されていた内容物が、リアクタユニット33内に放出される。噴射弁61を閉じて充填弁54を開くことにより、所定の圧力および温度で前駆体ガス、蒸気、またはパージガスで充填管を満たすことができる。
ガスおよび前駆体源をリアクタユニット33に接続するために、クイックコネクトフランジ56が使用され、各リアクタユニットに対してガスおよび蒸気ラインを比較的迅速に解放可能な、他のクイックコネクトが設けられてよい。前駆体およびガスパージは、ALDシーケンスで必要とされたように連続してパルス送出される。個々のパルス送出の時間は、通常、非常に短く(プロセスに依存して約50〜300ミリ秒)、パルスは、通常、短い遷移時間により分離されている。このため、高速切換性能をもつ弁が組み込まれる。高速切換弁は、当業者および本願発明者によく知られているものである。
この実施形態では、ガスおよび蒸気をリアクタチャンバから排気するために、クイックコネクト取付具58を介してリアクタユニット33の右側に、垂直向きの真空排気マニホールド63が接続されている。クイックコネクトを使用すると、個々のリアクタの取り外しおよびサービスが容易になる。このようなクイックコネクトは、当業者に知られているものであり、さまざまな形状および構成のものが利用可能である。修理および取り替えを容易にするために、真空遮断弁60が設けられる。この弁は、ガスのパルス送出中、通常開いている。
代表的な電気ライン57を介してリアクタユニット33に電力が供給される。図3Bの仕切弁52、加熱源などのさまざまな構成要素に動力を供給するために、電力が供給される。弁などに代表的な制御ライン59を介して制御信号が出力される。リアクタユニット33を迅速に取り外しやすいように、ライン57および59などの電気ラインに、電気コネクタ67および68が設けられる。
図2を参照して記載したように、基板は通常、処理中に加熱され、処理後に冷却されなければならない。したがって、液体を冷却するための接続も設けられる。冷却剤をリサイクルする冷却システムは、冷却リアクタにおいて共通のものである。このようなシステムは、当業者および本願発明者に知られているものである。
リアクタ33内には、加熱源が組み込まれており、本発明の実施形態において、ヒータの高さは、CARユニットに求められる独特の全体的にロープロファイルの要求を満たすようなものに制限される。
本発明の好適な実施形態において、1つの真空ポンプが、すべてまたは任意の数のコンパクトリアクタユニット33を排気できるものであってよい。これは、真空境界を真空ポンプとリアクタユニットとの間に設け、それにリアクタユニットから通じるすべての真空ラインを接続することにより達成される。各接続位置で、プログラムされた命令ごとに開閉できる弁が設けられることで、リアクタユニットを任意に組み合わせて、同時または別々に排気を行うことができる。好適な実施形態において、1つ以上のリアクタユニットが周囲窒素または空気にもたらされ、弁60および61を閉じることにより隔離され、他のユニットは真空下などの状態のままにする。
本発明の好適な実施形態において、クイックコネクトの接続を離し、電気ワイヤのプラグを抜き、連結しているチャンバ壁からフランジ54を外し、位置決めおよび支持用に使用される積層用固定具またはラックからリアクタユニット33を取り外すことにより、個々のコンパクトリアクタユニットが、図5の真空チャンバ32の連結する壁から容易に取り外し可能である。チャンバ壁または係合するフランジにボルト止めされまたは締め付けられるように、フランジプラグ(Oリング付き中実フランジ)が設けられて、システムを完全に遮断することも、他のLP−CARユニットと取り替えることもなく、多数のリアクタユニットがメインテナンスなどを行うために取り外されることもある。
本発明の趣旨および範囲から逸脱することなく、リアクタユニットを容易に取り外しやすいように使用可能なクイックコネクトが多数あることは、当業者には明らかであろう。これらの方法およびそのハードウェアは、当業者および本願発明者に知られているものである。
図9は、ガスをリサイクルして有害な副生成物を捕捉して廃棄可能な、本発明の実施形態によるガスリサイクリングおよび前駆体捕捉システムを示す図である。上述したように、前駆体およびガスパージは、コンパクトリアクタユニット33内に別々にパルス送出されるため、前駆体または副生成物は別々に収集され捕捉されてよいことになる。閉ループ制御を図4のガス入口マニホールド55で三方高速切換真空弁とつなげて、各リアクタの排気側に、リサイクリングおよび捕捉システム65が設置されて、パージガスPがリアクタユニット33内に戻されてリサイクルされてよい。AおよびBで表されている化学種が、有害元素を廃棄するための取り外し可能な前駆体トラップ69(すなわち、低温トラップ)において別々に捕捉される。無害のガスまたは化学物質は、前駆体トラップ69を迂回して、排気ポンプにより排気されてよい。本願明細書に記載され教示されている新規のアプローチにより、ガスの使用量を低減でき、より環境にやさしいプロセスが提供される。
シリアル処理の場合、各リアクタが上述したトラップシステム65などのトラップシステムを備えてよいことは、当業者に明らかであろう。しかしながら、各リアクタで同じプロセスを実行するパラレル処理の場合、1つのトラップシステムが排気側で利用されてよい。
図5の生産システム19などの生産システムが、本発明の趣旨および範囲を逸脱することなく、さまざまな技術とともに利用され統合されてよいことは、当業者に明らかであろう。例えば、VESCARユニット27は、CVDシステム、クリーニングモジュール、リソグラフィユニット、または当業者に知られている他のプロセスユニットとつなぐロボットハンドラのプラットフォームインタフェースを共有してよい。化学吸着によるALDプロセスに固有の均一性の特性により、基板サイズの制限やリアクタ数の制限がないことも、当業者に明らかであろう。したがって、VESCARユニット27は、他の競合技術に対して最適な商業上の応用に合わせて設計されてよい。可能な構成および応用の実施形態が他にも多数あり、そのうちの多くを上記に記載した。
クラスタツールシステムとともに使用するユニバーサルチャンバ
図10Aは、従来技術および本発明を実施するさいの両方で使用される、クラスタツールをベースにした処理システムをほぼ略図的に示した平面図である。クラスタツール自体は、真空チャンバ1101内で実質的に動作する材料取り扱いシステムである。ウェーハ搬送装置1103が、真空チャンバの中心から動作するように位置決めされ、実質的に円形の真空搬送チャンバ1101の周辺部を取り囲んだ場所に設けられた処理ステーションとの間で、通常、IC製造シーケンスにおいて半導体ウェーハである基板を、回転および延伸により配置し引き出すように適用される。
図示しているシステムには、1〜6の番号を付した6つのステーションの位置があり、これらのステーションのそれぞれが、取付フランジとスリット弁の構成1102によりチャンバ1101に適用される。この手法では、2つのステーション5および6が、図示されていない排気装置により高真空下に保持されるチャンバ1101との間でウェーハを搬入および搬出するためのエアロックとして使用され、残りの4つのステーション1〜4が処理用に利用可能である。
ウェーハが、ロードロック1104を介してチャンバ1101内に外側から移されると、通常、4つの処理ステーションを連続して通り、アンロードロック1105を介して外側に戻される。しかしながら、搬送装置1103が任意の所望の順序で配置および引き出しが可能であるため、ウェーハは4つの処理ステーションを介して連続して移動する必要はない。
図10Bは、このような処理ステーションのさらなるいくつかの典型的な特徴を示す、図10Aのステーション1106の断面立面図である。ステーション1106は、フランジ付きのスリット弁装置1102を介して、図10Aのチャンバ1101とつながる密封可能なプロセスチャンバをベースにしたものである。このインタフェースを介して、処理を行うためにウェーハがチャンバ1107内に移され、処理が終了するとチャンバ1107から取り出される。チャンバ1107は、チャンバを排気する真空排気ポート1109と、処理中にウェーハ1111を支持するための加熱可能な炉床1110とを備える。処理時に使用されるガスは、ガス供給制御ユニット1115から導管1114を介して、リングマニホールド1113とシャワーヘッドマニホールド1112を経由して導入される。
図10Aのシステムでは、チャンバ1101の容積すべてを高真空下に保つように、常に実質的な真空ポンプにより排気される。この目的は、処理ステーション間において大気ガスによる汚染を避けることである。処理されるウェーハは、ロードロックチャンバ1104の通常キャリヤに配置され、ロードロックは、チャンバ1101にある真空レベルの程度の真空レベルまで排気される。その後、内側弁が開き、搬送装置1103によりロードロックからウェーハが引き出され、処理ステーション1〜4の任意の1つに搬送されてよい。
通常、処理ステーションの1つにおいて処理が行われている間、過剰な量のプロセスガスを用いることなく、プロセスチャンバ圧力を制御するように真空ポンプが絞られる。このような絞りは、制御可能な開口を備えた弁を含む多数の方法で達成されてよい。通常のプロセスサイクルでは、処理が完了した後、ユニット1115(図10B)で弁が調節されてガスの供給が停止され、絞りメカニズムが開いて、処理チャンバにおいて最大の排気速度が得られる。この目的は、処理チャンバのガス圧力を、搬送チャンバ1107の圧力に近い値まで下げることである。その後、装置1102のスリット弁が開かれ、搬送装置1103が処理チャンバに入り、処理されたウェーハ1111を回収する。回収されたウェーハは、通常、装置103を介して別の処理ステーションへと搬送された後、ロードロックまたは別の処理ステーションからのウェーハが挿入されて、炉床1111上に配置され、その後、搬送装置が後退する。
新しいウェーハが処理チャンバの炉床上に位置すると、装置1102と関連するスリット弁が再度閉じられ、プロセスチャンバと搬送チャンバ1101とを隔離する。その後、ユニット1115から導管1114を介してプロセスガスが導入され、排気速度が絞られる。
上記に簡潔に記載したように、図10Aおよび図10Bを参照して記載した、一般的な特性の処理ステーションにおいて達成されるプロセスは数多くある。例えば、クリーニング、エッチング、バックスパッタリング、および多数の異なる成長法が達成されてよい。通常、各プロセスは、そのプロセス専用のチャンバにより行われる。
図11Aは、広範囲のプロセスを実行可能な、本発明の好適な実施形態による多目的処理ステーション1201の等角投影図であり、図11Bは、図11Aの多目的処理ステーションの分解図である。図11Cは、図11Aとは異なる視野から見た図11Aおよび図11Bに示す、多目的処理ステーションの等角投影切欠立面図である。図11Dは、処理モードで示されている図11Aの多目的処理ステーションの断面立面図であり、図11Eは、搬送モードで示されている図11Aの装置の断面立面図である。この実施形態における多目的ステーションは比較的複雑な装置であるため、ステーションの特徴および構成要素を理解しやすいように説明するために、いくつかの図および断面図を示しており、多目的処理ステーションに関する以下の記載は、それらの図面すべてを参照して最良に理解される。
以下、図11Aおよび図11Bを最初に参照すると、多目的処理ステーション1201が、他の要素と組み立てられると真空完全性をもたらす、ベースチャンバ1203により、クラスタツールに取り付けられている。ベースチャンバ1203は、フランジ1207で終端する側面延長通路1205を備え、フランジ1207は、ステーション1104がシステム1100(図10A)に取り付けられるように、クラスタツール搬送装置上の係合するフランジに取り付けられ得るものである。スリット弁は図示されておらず、この実施形態において、フランジ1207が取り付けられるクラスタツール装置の一部である。
筒状(この実施形態の場合)の処理チャンバ1204が、インタフェースに真空完全性をもたらす真空シールで、ベースチャンバ1203の上端に取り付けられ、リッドアセンブリ1261が、真空シールでプロセスチャンバの上端を密封する。この実施形態のリッドアセンブリは、プロセスチャンバにヒンジ留めされており、プロセスガスをプロセスチャンバ内に供給するための装置も備える。リッドアセンブリおよび関連する装置を以下にさらに詳細に記載する。本記載のこの部分に関して、リッドアセンブリとペデスタルを備えるプロセスチャンバにより閉鎖された処理容積が得られることを、留意することは重要なことである(図11Cおよび図11D)。
真空シールを備えた水平フランジにより、ベースチャンバ1203の下方にドライブアセンブリ1209が取り付けられる。水平フランジは、図示されていない真空排気システムに取り付けるための側面出口1213を備える上部筒状ハウジング1211の一部である。上部ハウジング1211は、ベースチャンバ1203に固定して取り付けられ、このベースチャンバが、クラスタツールの真空搬送チャンバに固定して取り付けられるため、以下の記載からさらに明らかなように、他の構成要素を構造的に支持する静止要素である。
ドライブアセンブリの目的は、内部ペデスタル装置1215(図11B)を上昇および下降させることである。ペデスタル装置は、処理されるウェーハを支持しそれに熱を与えるための加熱される炉床を備える。ペデスタルが最低位置にある場合、ウェーハがベースチャンバ内に挿入され、炉床上に位置するように離され、搬送装置が延長部1205を介して後退して関連するスリット弁が閉じた後、ペデスタルが上昇され、処理される位置にあるプロセスチャンバ内に支持されたウェーハを移動させてよい。
図11C、図11Dおよび図11Eに、ペデスタル装置1215とドライブアセンブリとの関係が最良に示されている。ペデスタル装置1215は、ヒータプレート、電気絶縁板、および以下に断面図で詳細に記載する他の構成要素を備える上側部分1217をもつ。ドライブアセンブリに関する記載に関して、ペデスタル装置とドライブアセンブリとの接続は、最重要な部分である。
図11Eは、ペデスタル装置の上側部分1217上にウェーハ1219を支持させる、最低位置にあるペデスタル装置1215を示す。この位置において、搬送装置(図10Aの1103)が、延長部分1205を介してベースチャンバに入り、ウェーハを拾いペデスタル装置の上側部分上に配置してよい。この記載により、ウェーハ1219がペデスタル装置上に配置されたとされる。
以下、ドライブアセンブリ1209の上部ハウジング1211に注目する。上部ハウジング1211よりも直径が小さい剛性の下部筒状ハウジング1221が、上部ハウジング1211の下方に延びる。ペデスタル装置1215は、上部構造1224と下部延長部1225とを備え、下部延長部は、外側筒状部材1223が取り付けられるフランジ1227で終端し、それらの間に環状領域が形成される。外側筒状部材1223は、ベアリング材料と整列され、下部ハウジング1221の周りに緊密に適合されることにより、偏心荷重を生じさせることなく確実にペデスタル装置1215を昇降するための垂直方向リニアガイドを形成する。
下端部にあるフランジ1227と下部筒状ハウジング1221の下端部に外径により固定されたフランジ1229との間を密封する金属ベローズ1233により、ペデスタル装置を垂直方向に自由に運動可能にしながら、アセンブリ全体に真空完全性が維持される。フランジ1229は、ベースチャンバ1203に取り付けられているハウジング1211に取り付けられた、下部ハウジング1221の一部であるため固定されている。フランジ1227は、ペデスタル装置1215の下部延長部1225に取り付けられているため、ペデスタル装置1215とともに上下に移動する。ペデスタル装置が下降されると、ベローズ1223が伸び、ペデスタル装置1215が上昇されると、ベローズ1233が縮む。ペデスタル装置は、フランジ1229の内径により、主にシリンダ1223内の内部ベアリングにより垂直経路に抑制される。
ドライブアセンブリ1209とペデスタル装置の昇降に関して、最低位置(図11E)と最高位置(図11D)との間で、ペデスタル装置215を並進移動するメカニズムをさらに記載する。以下、主に図11Aを参照すると、ドライブアセンブリ1209が、この実施形態では、ガイドハウジング1238内にあり、図示されていない制御システムから受信した制御信号により開始されると、ハウジング128内で伸び縮みする伸張可能な軸1237を備える、電気に作動される電動リニアアクチュエータ1235を含む。伸張可能な軸1237の一端が、クレビス1239によりドライブアセンブリの上部ハウジング1211に旋回可能に取り付けられる。U状のトラックからなるヨークセンブリ1241が、シリンダ1223(ペデスタルアセンブリ1215に固定して取り付けられる)の本体を取り囲み、U状トラックの端部でクランプバー1243の反対の端部に旋回可能に取り付けられ、クランプバーが、ドライブハウジング1238上で締め付けを行う。
以下、図11Bおよび図11Cを参照すると、ヨークアセンブリ1241が、シリンダ1223の反対側に取り付けられた2つのベアリング1245と係合する。以下、図11Bを参照すると、カム・トラック/ヨークアセンブリ1241のU状トラックの湾曲端部中心で、長さの調節が可能なリンク1247の一端が、クレビス1249により旋回可能に固定されている。リンク1247の他端は、クレビス1251によりハウジング1211に固定されている。
上述した構成において、伸張可能な軸1237が伸びると、クレビス1249の留め具を支点とするレバーのように、ヨークアセンブリが動くことにより、シリンダ1223は、軸1237が伸びた長さの約半分の距離だけ下降され、プロセスチャンバとベースチャンバに対してペデスタルアセンブリ全体が下降する。軸1237が縮むと、ペデスタルアセンブリは、ベースおよびプロセスチャンバに対して同様に上昇される。
ペデスタルアセンブリを、ベースおよびプロセスチャンバに対して並進移動するメカニズムは他にもあり、さらに本発明の範囲から逸脱することなく実現可能なメカニズムにはさまざまな代替メカニズムがあることは、当業者に明らかであろう。例えば、エアシリンダ、エアオイルシステム、油圧システムなど、多数の異なる伸張可能なドライブが使用されてよい。記載した実施形態により、滑らかな並進移動および高精度が得られる。
本発明の一態様において、ペデスタルアセンブリを垂直方向に移動すると、下部位置では、延長部1205を介してウェーハを挿入し回収でき、上部位置では、ペデスタル上のウェーハが処理を行うために処理チャンバ内へと上方に与えられるが、上部位置と下部位置との排気速度を変えることもできる。また、これを可能にする構成要素により、処理位置での実際の排気速度を容易に変更することもできる。これらの特徴は、図11Dおよび図11Eを参照して最良に理解される。
図11Dおよび図11Eを参照すると、ベースチャンバ1203がプロセスチャンバ1204に接合する位置に、リング状ライナ1253が配置される。ライナ1253の内径は、ペデスタルが最高位置にあるとき、ライナ1253とペデスタル1215の最上縁との間に形成される環状通路1255(図11D)の面積を決定する。また、ライナ1253は、熱伝達係数が比較的低いことにより、ペデスタルが処理(最高)位置にある間、加熱されたペデスタルに最も近づくプロセスチャンバとベースチャンバの部品を保護する材料からなる。
ライナ1253とともに、ペデスタルに取り付けられ、適合した形状の排気環状部を形成する、環状側板1257がペデスタル1215に設けられる。ペデスタル1215が最高位置にあるとき、ペデスタル1215の本体と側板1257が形成する上側環状部は、ライナ1253と係合する側版の上側リムにより環状部1255と係合する。図11Dを参照すると、プロセスチャンバから側面出口排気ポンプ1213を介して排気を行うための通路は、環状通路を介して、側板1257とペデスタル1215の本体との間に形成されることは明らかである。
以下、ステーションとの間でウェーハの搬入および搬出を行うためにペデスタル1215が最低位置に移動されている図11Eを参照すると、プロセス領域からのガスが、上述した側板環状部を通っているが、領域1259を介して側板の外側付近を通り、そこからハウジング1211の領域へ流れ、排気ポート1213を介して排出されてもよいことは明らかである。
処理後およびウェーハ搬送後、排気速度は比較的高速である必要があり、処理中は全ガス圧力を確保するために、より低い制御された速度まで処理中減速されなければならないことは、当業者に知られている。従来のシステムにおいて、これは、通常、チャンバの排気ポートにある絞り弁などにより達成される。本発明のこの態様では、取り付けられた排気側板を備えたペデスタルアセンブリを垂直方向に移動させるだけで、この差が生じる。この態様において、プロセス圧力を正確に制御するために、絞り弁が使用されてもよい。
ライナおよび側板の1つの構成が、プロセスにおいて非常に異なる排気速度が必要となることがある、広範囲のさまざまなプロセス、すなわちCVDおよびPECVDの両方に適用されないことは、当業者に明らかであろう。本発明の上述した実施形態において、プロセスが異なる場合、ペデスタルを取り外し、側板とライナを置き換えるだけでよく、この作業は、日常的なメインテナンスやクリーニングに必要な計画されたダウンタイム時に行われてよい。さらに、このような置き換え作業は、以下にさらに詳細に記載されるように、チャンバの他の態様の独特の構成により比較的簡単である。
以下、図11A〜図11Dを参照すると、プロセス領域は、リッドリング1263と、絶縁リング1265と、ガス拡散アセンブリ1267とを備えるリッドアセンブリ1261により閉じられている。ガス拡散アセンブリ1267は、プロセスガスを導入するための図示されていないポートと、プロセスガスをプロセスチャンバに流すための内部通路と、処理されるプロセスチャンバの適所にあるペデスタル1215上のウェーハにわたって均等にプロセスガスを分配するためのプロセス領域内の拡散要素とを備える。このようなポート、通路、分配要素は、当業者に知られているものである。
拡散アセンブリ1267は、拡散アセンブリを電気的および熱的に絶縁することにより、さまざまなプロセス方法の要求に応じて、他の構成要素に対して拡散アセンブリを電気的にバイアス可能にする絶縁リング1265に入れ子になっている。このようなバイアスは、例えば、プラズマ強化されたCVDプロセスにおいて知られているように、チャンバにあるプロセスガスを励起してプラズマを形成するために使用されてよい。絶縁リング1265は、真空シールを用いて真空完全性をもたらし、剛性リッドアセンブリを形成するように、リッドリング1263と拡散アセンブリ1267に接合される。好適な実施形態において、リッドアセンブリ1261は、取り外し可能な真空シールでプロセスチャンバ1204にヒンジ留めされることで、比較的容易に日常的にクリーニングおよびメインテナンスのためにアクセスできる。他の実施形態において、リッドは、異なる方法で取り付けられて、アクセスするために完全に取り外されてよい。
以下、主に図11Dおよび図11Eを参照すると、プロセスチャンバの内部へのアクセスは、リッドアセンブリ1261を開放し、邪魔にならないようにそれを移動させることにより行われてよい。ステーション1201の底部では、下側部分1225がフランジ1227に固定されているフランジ1227に容易にアクセスできる。リッドアセンブリを開くと、フランジ1227からペデスタルアセンブリ1215を取り外し、それを開いた最上部を通って処理ステーションから取り外してよい。このような特徴により、クリーニングやメインテナンスが必要な処理チャンバの部分に迅速かつ簡単にアクセスができ、さらにライナや側板を交換して新しい異なる処理条件を提供できる。
従来技術と比較して、ペデスタル215の上側部分のアーキテクチャに著しい改良がなされた。図12は、図11Dの破線の円1269の位置付近にあるペデスタル1215の最上領域の部分的断面図である。上述したように、さらに特に図11Cを参照することにより分かるように、ペデスタル1215は、上部構造1224と下部延長部1225のアセンブリである。上部構造1224は、閉塞プレート1226により最上部で閉じられており、構成要素1225、1226、1224が真空シールで組み立てられて、本質的に中空の真空気密構造が得られる。図12を参照して以下にさらに詳細に記載されるように、処理中にウェーハを支持するために、上部閉塞プレート1226がヒータおよび電極要素を支持する。ペデスタルアセンブリ1215の閉塞プレート1226は、図12におけるベースプレートであり、従来のOリングなどの真空シールや高温により損傷を受ける可能性のある他の要素に対して、動作温度を維持するように水冷される。
以下、主に図12を参照すると、閉塞プレート1226は、独特の電気フィードスルーユニット1301により、この実施形態では2箇所で貫通されている。図12に、このような貫通部の1つが示されているが、好適な実施形態において、このような貫通部は2つあり、独特のヒータプレートを以下に記載するように作用させる。フィードスルーユニット1301は、真空シールで閉塞プレート1226に適用されて、真空完全性を保持する。閉塞プレート1226の1つの貫通部にあるフィードスルーユニット1301は、電気絶縁板1305により閉塞プレート1226から間隔を置いて離した、ヒータプレート1303にある加熱要素に電力を供給する。ヒータプレート1303の役割は、処理中にウェーハが載るサセプタ1307に熱を供給することである。
サセプタ1307は、熱伝達係数が高い大部分がグラファイトの構造体であり、わずかな距離D1だけヒータプレート1303から間隔を置いて離されている。ヒータプレート1303は、ギャップD1を横断して対流および放射によりサセプタ1307に熱を供給することにより、上面を横切って、すなわちウェーハの表面にわたって温度を比較的に一定にし、さらに高周波数電気バイアスを付与するための効率的な電極を提供する。サセプタは電気体を形成し、プロセスがそれを必要とする場合、図12に図示されていないRFフィードスルーを介してバイアスされる。
ペデスタルアセンブリ1215(図11C、図11Dおよび図11Eを参照)の中空内部を介して案内されることにより、2つのフィードスルー1301、RF電力用の第2のフィードスルー、および種々の他の導管およびコネクタへつながるヒータ電力ワイヤが、閉塞プレート1226の下面の領域に設けられる。電力および他のユーティリティをペデスタル1215のサブアセンブリおよび構成要素に供給するためのこのようなワイヤや導管は、簡潔性を増すためにこれらの図面には図示されておらず、一般的に、当業者に知られているように、外部の電力およびユーティリティ供給源から延びている。
本願明細書に記載される好適な実施形態において、閉塞プレート1226を通る真空密閉された貫通部は他にもいくつかあるが、図面には詳しく図示していない。これらは、内部構成要素の温度を感知するために適切なフィードスルーを備えた熱電対、およびサセプタの温度をモニタするための少なくとも1つの光センサを含む。このようなフィードスルーは、一般的に当業者に知られている。通常3つのセラミックピンのパターンを並進移動させるためのエアシリンダ作動式メカニズムが、ヒータ/サセプタアセンブリにも適用され、サセプタ1307の表面からウェーハを昇降させるために使用されることで、サセプタプレートとの間でウェーハを拾い上げ配置するために、ウェーハ下に搬送デバイスが延伸することが可能となる。好適な実施形態において、閉塞プレートの開口を介してシリンダのベローズシールを経由して可動柄が延伸するように、閉塞プレート1226の下側に空気圧シリンダが適用される。空気圧シリンダは、1/2インチストロークを有し、ヒータとサセプタプレートにある小さな開口を延びる3つのセラミックピンを載せるヒータの下方に位置するスパイダを動作させる。
ウェーハを搬送させる場合など、図11Eに示すようにペデスタル1215が後退される場合、サセプタ1307上にあるウェーハが、上述した空気圧シリンダにより作動される上記に参照したセラミックピンにより、サセプタの上面から持ち上げられて離されてよい。その後、搬送アーム(図10Aの参照符号1103を参照)が、ピン上のウェーハより下側であるがサセプタより上側のプロセスステーション内に延伸してよい。その後、ピンを後退させて、搬送アーム上にウェーハを配置して、搬送アームがウェーハとともに後退されてよい。処理される新しいウェーハをサセプタ上に配置する場合は、このプロセスを逆に行ってよい。
図13Aに、図12のフィードスルー1301が分離されて示されている。この実施形態において、Oリングなどの真空シール用のシール溝1407を備える金属本体部分1405に金属間接着することにより、セラミック本体部分1403が接合されて、閉塞プレート1226(図12)にある適切な開口を介して密封可能な単一の本体が形成される。ニッケルのソリッドワイヤ1409が、当業者に知られている金属間接着によりセラミック本体部分1403を介して密封され、伝達された熱が問題とならない程度にフィードスルーから十分に離れた距離において、はんだ付けなどにより従来の電力ワイヤ1411に接合される。
フィードスルー1301の真空側では、ニッケルワイヤ1409が、セラミック本体部分1403にある開口1415に組み立てられたソケット1413に接合され、ヒータプレート1303(図12)からポストを受け入れるように適用される。ポストについては、以下にさらに詳細に記載する。ソケット1413は、大きな剛性をもつワイヤ1409により垂直方向に制約される。開口1415の直径は、ソケット1413の直径よりも少し大きいため、ソケット1413は横方向に自由に運動できる(ワイヤ1409による横運動への抵抗はほとんどない)。このように横方向に自由に運動できることにより、ポスト(以下にさらに記載する)を備えたヒータプレートを閉塞プレートに組み立てる場合、ある程度の移動や位置ずれを見込むことができる。
図13Cは、図13Aのフィードスルー1301の上面図であり、図13Bは、図13Cの線13B−13Bに沿って、フィードスルー1301の本体アセンブリを切り取った断面図であり、図13Aの断面から90度だけ実質的に回転させたものである。断面図13Bは、円形開口1419の下方にある、セラミック本体部分1403の溝1417に沿ったものである。開口1419は、コネクタのポストが延びるヒータプレート1303の円形部分を受け、溝1417は、電気フィードスルーの個々のポストの間で見通し線の電気相互作用を防止するように作用する、セラミック絶縁バリヤ1309のバフル延長部1311を受ける。
図14Aおよび図14Bは、セラミック絶縁バリヤ1309の上面図と側面図を示し、この全直径は、両ソケット1413の位置を取り囲むのに十分な大きさのものである。この実施形態において、バリヤ1309は、ヒートプレート1303に組み立てられたポストが、以下にさらに詳細に記載されるように通過できるように適用される、円形開口1511および1513を備える。バリヤ1309のバフル延長部1311は、セラミックバリヤの平坦な本体に対して実質的に90度の方向に、バリヤ1309の直径にわたってバリヤ壁として延伸し、記載する実施形態においてヒータプレートがペデスタルに組み立てられる場合、溝1417と係合するように適用される。
図15Aは、図12のヒータプレート1303の等角投影図である。図15Bは、同じヒータプレートの平面図であり、図15Cは側面図である。この実施形態において、ヒータプレート1303は、ヒータプレートの厚みを通る、デュアルチャネル1607および1609により分離された内側領域1603および外側領域1605とをもつ、独特の2つのゾーンからなるヒータである。内側領域1603は、ヒータにわたってウェーハの直径をサセプタ上に位置させるように適用され、外側領域1605は、ヒータプレートの領域の残りの部分を実質的に囲む。この実施形態において、ヒータプレート1303は、薄膜加熱要素を備えたセラミックアセンブリである。
この実施形態において、ヒータプレート1303上に2つの離れた位置にあるコネクタポスト構造1611が組み立てられており、一方は内側領域1603用のものであり、他方は外側領域1605用のものである。独立して電力を供給することが可能な2つの離れた領域を設けることで、2ゾーンヒータ上にあるサセプタ上のウェーハを加熱するさいのエッジ効果に対応するように熱の分配を調整することが可能となるため、外径まで均等にウェーハを加熱でき、従来のヒータと比較して著しく向上される。
図16A、図16Bおよび図16Cは、主に図13A、図13Bおよび図13Cを参照して上述した、ヒータプレートおよびソケットアセンブリと組み合わせて本発明の実施形態において使用される独特のコネクタポスト1701を示す。図16Aは、コネクタポスト1701の等角投影図であり、図16Bは、拡大端面図であり、図16Cは、図16Bの切断線16C−16Cに沿って切り取った断面図である。
コネクタポスト1701は、ねじ部1703と、フランジ1705と、可撓性フィンガポスト延長部1707とを備える。本発明の実施形態における全長は約1/2インチであり、そのうちねじ部とポスト延長部がそれぞれ約1/4インチであるが、他の実施形態において、それよりも長いまたは短いポストが使用されてよい。ねじ部のねじは、微細なねじ筋であることが好ましいが、いくつかの異なるねじサイズが使用されてよい。
図16A、図16Bおよび図16Cに示す好適な実施形態において、コネクタポスト1701のポスト延長部1707は、図示されているフィンガ1709などのように、12個の同等の可撓性フィンガに分割される。コネクタポストは、通常、数種類のステンレス鋼の1つなどの耐薬品性材料からなり、フィンガのばね張力を適切なものにするために、当業者に知られている方法で加熱処理される。
以下、図15A、図15Bおよび図15Cを参照すると、各コネクタポスト構造1611が、2つのねじ穴をもつ起立部1601を備える。各起立部上には、絶縁バリヤ1309が配置され、コネクタポスト1701が、絶縁バリヤにある開口1511および1513を介してねじ込まれることにより、フランジ1705が、絶縁バリヤを捕らえてヒータプレートに対して保持する。図12を参照すると、このアセンブリが明確に示されている。ヒータプレート1303は、ねじ穴により、各ヒータポストがヒータプレートにある適切な加熱要素と係合できるように構成されている。上述した独特の構造を利用するために、ヒータの構成に施されてよい構成は他にも多くあることは、当業者に明らかであろう。
図12を再度参照すると、フィードスルー1301をもつフィードスルー貫通部は、ヒータプレート1303のコネクタポスト構造1611と係合するのに必要とされるパターンで閉塞プレート1226に設けられる。ヒータプレートが閉塞プレートに組み立てられる場合、各コネクタポスト構造の起立部1601は、円形開口1419(図13Aおよび図13Bを参照)と係合する。同時に、絶縁バフル1309のバフル延長部1311が溝1417と係合して、見通し線接続を形成しない。上述したように、フィードスルー1301のワイヤ1409上のソケット1413により、ある程度の横運動が可能となることで、ポストの可撓性フィンガとともに、容易かつ確実に係合が達成される。
本願明細書に記載する好適な実施形態において、単一のヒータプレートにある2つの離れたヒータ領域への電力接続を与える2つのコネクタポスト構造がある。この実施形態において、分離した単一ポスト構造が示されていないが、同じソケットおよびポスト構成(単一ポスト以外同じ)を用いて、プラズマ強化されたCVD(PECVD)プロセスにおける、RFバイアス付与用の高周波数接続に設けられる。しかしながら、コネクタポスト構造の数は増減してもよく、さらにデュアルポストフィードスルーが高周波数バイアス付与にも良好に使用されてよいことは、当業者に明らかであろう。
本発明の趣旨および範囲から逸脱することなく、上述した実施形態に行ってよい詳細およびスケールの変更が多数あることは、当業者に明らかであろう。多くのこのような変形についてはすでに記載した。他にも多数ある。例えば、集積回路の製造に現在使用されているウェーハサイズは多数あり、本発明の実施形態による処理ステーションは、個々のウェーハサイズまたはある範囲のウェーハサイズを収容するように構成されてよい。本発明によるステーションは、例えば、直径が最大12インチまたはそれ以上のウェーハを収容するような大きさのものであってよいが、名目上の8インチ直径のウェーハを適切に均等に効率的に加熱するようにヒータ構造に適合されてよい。
本発明の範囲の別の例として、上記に詳細に記載したドライブアセンブリ1209により、本発明のさまざまな実施形態においてペデスタルアセンブリを昇降するために、効率的で滑らかで極めて超寿命のドライブが得られる。しかしながら、使用されてよいこのようなドライブには多数の変形があり、さらに、従来技術と比較して独特の発明上の違いを備えたまま、いくつかの実施形態に組み込まれてよいまったく異なるドライブもある。
ALDプロセス用の多目的処理チャンバの使用
本発明の別の態様において、図10A〜図16Cを参照して上述した多目的プロセスチャンバは、図1A〜図9を参照して開示され記載されたように、原子層成長(ALD)プロセスを実行するために用いられる。
以下、図5を参照すると、VESCAR構成27が、真空中央基板ハンドラ23につなげられたシステム構成が示されている。図7を参照して記載したように、複数のVESCARユニットは、真空中央基板ハンドラのスリット弁につなげられてよい。ハンドラ23は、図10Aを参照して記載したものと本質的に同じクラスタツールハンドラであり、本願明細書において教示したように、多目的チャンバまたはVESCARユニットのいずれかか、それぞれの1つまたはそれ以上のものが、このようなクラスタツールハンドラにつながれてよいことは明らかであろう。
本発明の好適な実施形態において、上記記載による少なくとも1つの多目的チャンバが、クラスタツールハンドラにつながれ、ガス供給制御装置が、図8および図9を参照して上述した記載による多目的チャンバに与えられる。好ましくは複数の多目的チャンバ(以下、ALDチャンバ)が、少なくとも1つのロードロック装置とともにつながれて、被覆するウェーハが、固定されたALDチャンバに装填されそこから取り外されてよい。このように、ALDチャンバの数と同程度のウェーハが、各サイクルに導入されてよく、各ウェーハは、実行するALDプロセスに対して独自の専用のプロセスチャンバをもつ。プロセスは同一のものであっても非常に異なるものであってもよく、装填、取り外し、および処理パラメータは、適合するようにプログラムされてよい。
以下、処理位置(11D)および搬送位置(11E)にある、多目的チャンバの1つを示す図11Dおよび図11Eを注目する。この態様のチャンバ1204は、ALDプロセスにより被覆されるウェーハの大きさに特に適合するように提供されるため、ガス流量と一致するチャンバの容積が最小限に抑えられる。チャンバの容積は、ペデスタルが最高位置にある場合に確定されるのに対して、ペデスタルが後退する場合(図11E)、容積は明らかに大きくなる。
ALDガスは、リッド1267として一般的に示した特別なリッドを介して供給され、リッドを多目的チャンバに置き換えることにより、ウェーハの厚み、膜材料などの変化のような特別な状況に対応してよい。同様に、処理を行うための排気速度は、ペデスタルが最高位置にあるときに形成される環状体1225により処理位置において決定される。排気要求は、リング1253(図11E)を取り替えることにより微調整されてよい。
動作中、ペデスタルが後退し、仕上がったウェーハが取り外され、新しいウェーハがクラスタツール構成にある各チャンバに装填される。スリット弁インタフェースは閉じられ、ペデスタルが前進する。このプロセスにおいて、各チャンバにあるウェーハ炉床がある温度に維持されるため、ウェーハは急速に処理温度まで上昇する。これは、熱風を導入することにより促進されてよい。ウェーハが被覆温度になると、各チャンバにガスフロー投入法が課せられ、ALDプロセスが始まる。
本発明の趣旨および範囲を逸脱することなく、上述した装置および方法に施してよい変更が多数あることは、当業者に明らかであろう。多数の異なるサイズのウェーハが、例えば、チャンバの一部の構成要素を変えることにより処理されてよい。プロセスパラメータは、さまざまな方法で調整されてよい。
さらなる例として、ヒータプレートやサセプタの材料など、本発明の多数の構成要素に施されてよい材料の代用が多数ある。広範囲の変形がなされてよい点から鑑みて、本発明は特許請求の範囲によりのみ制限される。
一般的な原子層成長プロセスの略図である。 ALDガスパルスの典型的なタイミング図である。 本発明の実施形態によるロープロファイルコンパクトリアクタユニットの等角投影図である。 本発明の実施形態によるフラップタイプの仕切弁とフランジを示す、図1のコンパクトリアクタユニットの等角投影図である。 本発明の実施形態による図1に示した2つのコンパクトリアクタユニットの右側面図である。 本発明のさらなる別の実施形態によるロードロックと一体型のVESCAR27の立面図である。 本発明の実施形態によるALD垂直積層システムアーキテクチャの立面図である。 単一のLP−CARユニットにおいて複数の基板を処理するのに適した本発明の実施形態によるLP−CARの平面図である。 本発明の代替実施形態による生産システム19の上面図である。 本発明の実施形態による後方から見た図1の積層コンパクトリアクタの立面図である。 本発明の実施形態によるガスリサイクリングおよび前駆体捕捉システムを示す図である。 本発明の実施形態において使用される当業者に知られているクラスタツールベースの処理システムの理想的な平面図である。 当業者に知られている従来のCVD処理ステーションのほとんどが略図化された断面立面図である。 本発明の好適な実施形態による多目的処理ステーションの等角投影図である。 図11Aの多目的処理チャンバの分解図である。 図11Aの多目的処理ステーション装置の等角投影切欠立面図である。 処理モードの状態を示した図11Aの多目的処理チャンバの立面断面図である。 搬送モードの状態を示した図11Aの装置の立面断面図である。 図11Eからの電気フィードスルー装置を含むペデスタル要素の立面断面図である。 図12の電気フィードスルー装置の立面断面図である。 図13Cの切断線13B−13Bに沿って切り取った図13Aのフィードスルーの本体アセンブリを切り取った、断面図である。 図13Aのフィードスルー装置の上面図である。 図12からのセラミック絶縁体バリヤの側面立面図である。 図14Aの側面図に示された絶縁バリヤの平面図である。 本発明の実施形態における2つのゾーンからなるヒータプレートの等角投影図である。 図15Aのヒータプレートの平面図である。 図15Aのヒータプレートの側面図である。 本発明の実施形態におけるコネクタポストの等角投影図である。 図16Aのコネクタポストの端面図である。 図16Aおよび図16Bのコネクタポストの断面図である。

Claims (10)

  1. 第1の断面積を有する下縁を備えた処理チャンバ部分と、
    処理チャンバ部分の下に位置され、第1の断面積よりも大きい真空排気ポート、基板搬送ポート、および処理チャンバの円形下縁の下に位置する第2の断面積を備えるベースチャンバ部分と、
    第1の断面積よりも小さい第3の断面積をもつ上側基板支持表面を備え、垂直方向の並進移動を可能にするダイナミック真空シールにより、基板搬送ポートの下でベースチャンバ部分に適用された基板支持ペデスタルと、
    処理チャンバの円形下縁と実質的に同一平面の処理位置か、または排気ポートの上方であり基板搬送ポートの下方であるベースチャンバ部分内の下側搬送位置に、上側支持表面を位置させるように、基板支持ペデスタルを並進移動するように適用された垂直並進移動ドライブシステムと、
    処理チャンバに取り付けられ、原子層成長(ALD)プロトコルによりガスを供給する取り外し可能なガス供給リッドとを具備し、
    基板支持ペデスタルが処理位置にあるときに、基板支持ペデスタルの断面積と、より大きい第1の断面積とが、処理チャンバ部分から真空排気ポートを介した第1の制限された排気速度を決定する第1の全有効面積を有する第1の排気通路を形成し、また、基板支持ペデスタルが下方搬送位置にあるときに、基板支持ペデスタルの断面積と、より大きい第2の断面積とが、第1の有効面積よりも大きな第2の有効面積をもつ第2の環状排気通路を形成して、処理チャンバからの第2の排気速度を第1の制限された排気速度よりも速くすることが可能である、クラスタツールシステムのためのALD処理ステーション。
  2. 第1の断面積が、交換可能なリングにより形成されることにより、一定の外径および異なる内径とを有する交換可能なリングを交換することにより、第1の排気速度が速くなるように変更され得る、請求項1に記載の処理チャンバ。
  3. 上側支持表面から始まり上側支持表面の下方に延伸する基板ペデスタルの一部を取り囲む環状側板をさらに具備し、上側支持表面の高さにある環状側板の排気面積は、第1の断面積と実質的に等しいため、基板支持ペデスタルが処理位置にあるとき、環状側板が第1の断面積と結合して、処理チャンバからのすべてのガスフローを、環状側板と基板支持ペデスタル間の環状側板内に流すように抑制する、請求項1に記載の処理チャンバ。
  4. 処理チャンバの上縁を閉じる取り外し可能なリッドが、リッドとダイナミック真空シールを取り外し可能であるように取り外し可能なシールで取り付けられることにより、基板支持ペデスタルをベースチャンバ領域内から処理チャンバ領域を介して上方に引き出すことができる、請求項1に記載の処理ステーション
  5. 取り外し可能なリッドが、基板支持ペデスタルが処理位置にあるとき、基板支持ペデスタル上に支持されている露出された基板表面にわたって均等に処理ガスを供給するためのガス分配システムを備える、請求項4に記載の処理ステーション。
  6. 基板支持ペデスタルが、上側支持表面と平行であり処理チャンバのための真空境界を形成する閉塞プレートと、閉塞プレートとは断熱された処理チャンバ側にあるヒータプレートと、ヒータプレートの上方に、ヒータプレートとは離間して配置され、上側支持表面を形成する電気的に絶縁されたサセプタとを備える、請求項1に記載の処理ステーション。
  7. ヒータプレートが、少なくとも2つの別々に電力が供給される加熱領域をもつ複合ヒータプレートであり、別々に電力が供給される領域への電力を管理することにより、プレート全体にわたっての温度プロファイルを管理することができる、請求項6に記載の処理ステーション。
  8. 内側加熱領域が、ヒータプレートを実質的に介して少なくとも1つの溝により外側加熱領域から分離される、請求項7に記載の処理ステーション。
  9. 内側加熱領域が、ヒータプレートにより加熱される基板の断面積と実質的に等しい断面積をもつ、請求項7に記載の処理ステーション。
  10. ダイナミック真空シールが、ステンレス鋼製のベローズである、請求項1に記載の処理ステーション。
JP2006272499A 1999-01-04 2006-10-04 原子層成長プロセスのための処理チャンバ Pending JP2007027791A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/225,081 US6174377B1 (en) 1997-03-03 1999-01-04 Processing chamber for atomic layer deposition processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000592464A Division JP2002534786A (ja) 1999-01-04 1999-12-16 原子層成長プロセスのための処理チャンバ

Publications (2)

Publication Number Publication Date
JP2007027791A true JP2007027791A (ja) 2007-02-01
JP2007027791A5 JP2007027791A5 (ja) 2007-03-15

Family

ID=22843449

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000592464A Pending JP2002534786A (ja) 1999-01-04 1999-12-16 原子層成長プロセスのための処理チャンバ
JP2006272499A Pending JP2007027791A (ja) 1999-01-04 2006-10-04 原子層成長プロセスのための処理チャンバ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000592464A Pending JP2002534786A (ja) 1999-01-04 1999-12-16 原子層成長プロセスのための処理チャンバ

Country Status (9)

Country Link
US (3) US6174377B1 (ja)
EP (1) EP1159465B1 (ja)
JP (2) JP2002534786A (ja)
KR (1) KR100446485B1 (ja)
CN (1) CN1170957C (ja)
AT (1) ATE355396T1 (ja)
AU (1) AU2368500A (ja)
DE (1) DE69935351T2 (ja)
WO (1) WO2000040772A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
KR20120018113A (ko) * 2009-02-27 2012-02-29 캠브리지 나노테크 인크. Ald 시스템 및 방법
JP2012212882A (ja) * 2011-03-24 2012-11-01 Nuflare Technology Inc 半導体製造装置および半導体製造方法
KR20130085963A (ko) * 2012-01-20 2013-07-30 도쿄엘렉트론가부시키가이샤 가스 공급 헤드 및 기판 처리 장치
CN110752171A (zh) * 2019-11-01 2020-02-04 长江存储科技有限责任公司 晶圆弯曲度调整装置及方法
JP2022501804A (ja) * 2018-11-22 2022-01-06 長江存儲科技有限責任公司Yangtze Memory Technologies Co., Ltd. 三次元メモリデバイスおよびその製作方法
KR102687262B1 (ko) 2021-11-08 2024-07-22 한국표준과학연구원 도킹형 오염입자 포집 및 분석 장치

Families Citing this family (638)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
KR100974848B1 (ko) * 2001-12-03 2010-08-11 가부시키가이샤 알박 혼합기, 박막 제조 장치 및 박막 제조 방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
CN1643179B (zh) * 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US8205009B2 (en) * 2002-04-25 2012-06-19 Emc Israel Development Center, Ltd. Apparatus for continuous compression of large volumes of data
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20030221616A1 (en) * 2002-05-28 2003-12-04 Micron Technology, Inc. Magnetically-actuatable throttle valve
CN100423179C (zh) 2002-06-21 2008-10-01 应用材料股份有限公司 用于真空处理***的传送处理室
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20070031991A1 (en) * 2003-03-21 2007-02-08 Forschungszentrum Julich Gmbh Method for depositing compounds on a substrate by means of metalorganic chemical vapor deposition
JP4540939B2 (ja) * 2003-03-24 2010-09-08 東京エレクトロン株式会社 処理装置
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050016453A1 (en) * 2003-04-23 2005-01-27 Seidel Thomas E. Collection of unused precursors in ALD
KR101191222B1 (ko) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 트랜지언트 강화 원자층 증착
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7846254B2 (en) 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
CN101894779B (zh) * 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7012264B2 (en) * 2004-06-04 2006-03-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
CN101684550B (zh) 2004-06-28 2012-04-11 剑桥纳米科技公司 设计为用于气相沉积***中的阱
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
CN100595974C (zh) * 2005-03-30 2010-03-24 松下电器产业株式会社 传输线
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101065312B1 (ko) 2005-09-28 2011-09-16 삼성모바일디스플레이주식회사 원자층 증착 장치
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
JP2009529223A (ja) * 2005-11-22 2009-08-13 ジーナス インコーポレーテッド 小体積対称流れシングルウェハald装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8137464B2 (en) * 2006-03-26 2012-03-20 Lotus Applied Technology, Llc Atomic layer deposition system for coating flexible substrates
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
SG174771A1 (en) * 2006-06-09 2011-10-28 Soitec Silicon On Insulator High volume delivery system for gallium trichloride
DE102006032073B4 (de) * 2006-07-11 2016-07-07 Intel Deutschland Gmbh Elektrisch leitfähiger Verbund aus einem Bauelement und einer Trägerplatte
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
ATE546570T1 (de) 2006-11-22 2012-03-15 Soitec Silicon On Insulator Verfahren zur epitaktischen abscheidung von einkristallinen iii-v halbleitermaterial
WO2008064080A1 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
US8545628B2 (en) 2006-11-22 2013-10-01 Soitec Temperature-controlled purge gate valve for chemical vapor deposition chamber
JP5656184B2 (ja) 2006-11-22 2015-01-21 ソイテック 三塩化ガリウムの噴射方式
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US8382898B2 (en) * 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
KR101379410B1 (ko) 2006-11-22 2014-04-11 소이텍 3-5족 반도체 재료들의 대량생산을 위한 설비
KR101504085B1 (ko) * 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
WO2008116222A2 (en) * 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP2011508428A (ja) * 2007-12-20 2011-03-10 エス. オー. アイ. テック シリコン オン インシュレーター テクノロジーズ 半導体材料を大量生産するためのin−situチャンバ洗浄プロセスの方法
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
DE102008062332A1 (de) * 2008-12-15 2010-06-17 Gühring Ohg Vorrichtung zur Oberflächenbehandlung und/oder -beschichtung von Substratkomponenten
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP4564570B2 (ja) * 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200478069Y1 (ko) * 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
FI20096153A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä koristepäällysteen muodostamiseksi, koristepäällyste ja sen käyttötapoja
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
DE102010016792A1 (de) 2010-05-05 2011-11-10 Aixtron Ag Bevorratungsmagazin einer CVD-Anlage
WO2012011149A1 (ja) * 2010-07-21 2012-01-26 キヤノンアネルバ株式会社 電力導入装置及び電力導入装置を用いた真空処理装置
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
FI20115073A0 (fi) * 2011-01-26 2011-01-26 Beneq Oy Laitteisto, menetelmä ja reaktiokammio
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
KR102001247B1 (ko) 2011-06-30 2019-07-17 어플라이드 머티어리얼스, 인코포레이티드 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US10100402B2 (en) * 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2780489B1 (en) 2011-11-18 2019-01-09 First Solar, Inc Vapor transport deposition method and system for material co-deposition
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078346A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return for symmetric rf delivery
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
EP2850222A4 (en) * 2012-05-14 2016-01-20 Picosun Oy COATING PARTICLES OF A POWDER USING AN ATOMIC LAYER DEPOSITION CARTRIDGE
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
CN103103497B (zh) * 2012-11-21 2016-02-03 中国科学院微电子研究所 一种原子层沉积设备
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104032280B (zh) * 2013-03-06 2016-08-24 夏洋 原子层沉积***
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
CN106415876B (zh) * 2014-01-21 2018-06-26 应用材料公司 允许低压力工具替换的薄膜封装处理***和工艺配件
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10515783B2 (en) * 2016-02-23 2019-12-24 Lam Research Corporation Flow through line charge volume
EA035003B1 (ru) * 2016-03-16 2020-04-16 Общество С Ограниченной Ответственностью "Изовак Технологии" Вакуумная установка для нанесения тонкопленочных покрытий и способ нанесения на ней оптических покрытий
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
WO2017178690A1 (en) * 2016-04-12 2017-10-19 Picosun Oy Coating by ald for suppressing metallic whiskers
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
TWI743446B (zh) * 2018-02-20 2021-10-21 美商應用材料股份有限公司 用於原子層沉積(ald)溫度均勻性的熱解氮化硼(pbn)加熱器
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
EP3794633A1 (en) * 2018-05-15 2021-03-24 Evatec AG Substrate vacuum treatment apparatus and method therefore
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
CN111128664B (zh) * 2018-11-01 2022-05-27 北京北方华创微电子装备有限公司 谐振腔结构和半导体处理设备
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7519388B2 (ja) * 2019-06-25 2024-07-19 ピコサン オーワイ 基板処理装置におけるプラズマ
CN114026268A (zh) * 2019-06-25 2022-02-08 皮考逊公司 衬底背面保护
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110257802A (zh) * 2019-07-10 2019-09-20 南京爱通智能科技有限公司 一种适用于超大产量原子层沉积设备的速热载具
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2022181830A (ja) * 2021-05-27 2022-12-08 東京エレクトロン株式会社 プラズマ処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117286475B (zh) * 2023-09-14 2024-04-16 苏州外延世电子材料有限公司 一种延长cvd加热基座寿命的装置及其使用方法
CN118086860A (zh) * 2024-04-29 2024-05-28 成都晨发泰达航空科技股份有限公司 一种转子叶片化学气相沉积铝涂层装置及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01290221A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 半導体気相成長方法
JPH0434921A (ja) * 1990-05-30 1992-02-05 Nec Corp 3―v族化合物半導体の気相成長方法
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
WO1998039495A1 (en) * 1997-03-03 1998-09-11 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JPH06275528A (ja) * 1993-03-18 1994-09-30 Hitachi Ltd 真空処理装置の排気部構造
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000183037A (ja) * 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01290221A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 半導体気相成長方法
JPH0434921A (ja) * 1990-05-30 1992-02-05 Nec Corp 3―v族化合物半導体の気相成長方法
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
WO1998039495A1 (en) * 1997-03-03 1998-09-11 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012519235A (ja) * 2009-02-27 2012-08-23 ケンブリッジ ナノテック インコーポレイテッド Aldシステムおよび方法
US9777371B2 (en) 2009-02-27 2017-10-03 Ultratech, Inc. ALD systems and methods
KR101638214B1 (ko) * 2009-02-27 2016-07-08 울트라테크 인크. Ald 시스템 및 방법
KR20120018113A (ko) * 2009-02-27 2012-02-29 캠브리지 나노테크 인크. Ald 시스템 및 방법
US9068261B2 (en) 2009-03-10 2015-06-30 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer deposition apparatus and thin film forming method
JP2010212434A (ja) * 2009-03-10 2010-09-24 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び薄膜形成方法
WO2010103751A1 (ja) * 2009-03-10 2010-09-16 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
TWI500807B (zh) * 2009-03-10 2015-09-21 Mitsui Shipbuilding Eng Atomic layer deposition apparatus and thin film forming method
JP2012212882A (ja) * 2011-03-24 2012-11-01 Nuflare Technology Inc 半導体製造装置および半導体製造方法
KR101662364B1 (ko) * 2012-01-20 2016-10-04 도쿄엘렉트론가부시키가이샤 가스 공급 헤드 및 기판 처리 장치
JP2013149873A (ja) * 2012-01-20 2013-08-01 Tokyo Electron Ltd ガス供給ヘッド及び基板処理装置
TWI568879B (zh) * 2012-01-20 2017-02-01 Tokyo Electron Ltd Gas supply head and substrate processing device
KR20130085963A (ko) * 2012-01-20 2013-07-30 도쿄엘렉트론가부시키가이샤 가스 공급 헤드 및 기판 처리 장치
JP2022501804A (ja) * 2018-11-22 2022-01-06 長江存儲科技有限責任公司Yangtze Memory Technologies Co., Ltd. 三次元メモリデバイスおよびその製作方法
JP7462614B2 (ja) 2018-11-22 2024-04-05 長江存儲科技有限責任公司 三次元メモリデバイスおよびその製作方法
CN110752171A (zh) * 2019-11-01 2020-02-04 长江存储科技有限责任公司 晶圆弯曲度调整装置及方法
KR102687262B1 (ko) 2021-11-08 2024-07-22 한국표준과학연구원 도킹형 오염입자 포집 및 분석 장치

Also Published As

Publication number Publication date
EP1159465B1 (en) 2007-02-28
US6174377B1 (en) 2001-01-16
US6818067B2 (en) 2004-11-16
EP1159465A1 (en) 2001-12-05
CN1170957C (zh) 2004-10-13
KR100446485B1 (ko) 2004-09-01
DE69935351T2 (de) 2007-11-08
DE69935351D1 (de) 2007-04-12
CN1342213A (zh) 2002-03-27
EP1159465A4 (en) 2005-05-04
US20010011526A1 (en) 2001-08-09
ATE355396T1 (de) 2006-03-15
US6387185B2 (en) 2002-05-14
KR20020006020A (ko) 2002-01-18
JP2002534786A (ja) 2002-10-15
US20020108714A1 (en) 2002-08-15
WO2000040772A1 (en) 2000-07-13
AU2368500A (en) 2000-07-24

Similar Documents

Publication Publication Date Title
JP2007027791A (ja) 原子層成長プロセスのための処理チャンバ
US5879459A (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
EP1166180B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR101248188B1 (ko) 다중-단일 웨이퍼 처리 장치
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
US20060090849A1 (en) Substrate processing apparatus
EP1182695A2 (en) Semiconductor processing module and apparatus
CN111354657B (zh) 半导体多站处理腔体
JP2012069723A (ja) 基板処理装置およびガスノズルならびに基板の処理方法
KR20080068589A (ko) 하나의 챔버 및 복수의 튜브를 가지는 고효율 수직로 장치
KR20140144582A (ko) 클러스터형 배치식 기판처리 시스템
TW201500269A (zh) 叢集型批量式基板處理系統
KR20230173245A (ko) 반도체 제조용 부품 캐리어 및 이를 이용한 반도체 제조용 부품 반송장치
JP2004221214A (ja) 基板処理装置
JP2003060009A (ja) 基板処理装置および基板処理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100517

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101008

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101220

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110415

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120123

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120131