KR101248188B1 - 다중-단일 웨이퍼 처리 장치 - Google Patents

다중-단일 웨이퍼 처리 장치 Download PDF

Info

Publication number
KR101248188B1
KR101248188B1 KR1020077007199A KR20077007199A KR101248188B1 KR 101248188 B1 KR101248188 B1 KR 101248188B1 KR 1020077007199 A KR1020077007199 A KR 1020077007199A KR 20077007199 A KR20077007199 A KR 20077007199A KR 101248188 B1 KR101248188 B1 KR 101248188B1
Authority
KR
South Korea
Prior art keywords
wafer
processing
wafer processing
delete delete
reactors
Prior art date
Application number
KR1020077007199A
Other languages
English (en)
Other versions
KR20070052331A (ko
Inventor
저지 푸차츠
사산간 라마나탄
마놀리토 큐. 리예스
토마스 이. 세이델
Original Assignee
아익스트론 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아익스트론 인코포레이티드 filed Critical 아익스트론 인코포레이티드
Publication of KR20070052331A publication Critical patent/KR20070052331A/ko
Application granted granted Critical
Publication of KR101248188B1 publication Critical patent/KR101248188B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 처리 장치는 내부에 반 독립 ALD 및/또는 CVD 막 증착을 위해 구성되는 다중, 별개의, 단일-웨이퍼 처리 반응기; 각각의 상기 웨이퍼 처리 모듈에 웨이퍼를 제공하며, 상기 모듈로부터 웨이퍼를 수용하도록 구성되는 로봇식 중앙 웨이퍼 핸들러; 및 로딩 및 언로딩 포트를 로봇식 중앙 웨이퍼 핸들러에 연결하는 국소 환경 및 로딩 및 언로딩 포트를 포함하는 단일-웨이퍼 로딩 및 언로딩 기구를 포함하는 하나 이상의 처리 모듈을 포함한다. 웨이퍼 처리 반응기는 (i) 데카르트 좌표계 축선을 따라서, 또는 (ⅱ) 상기 축선에 의해 형성되는 4분면 내에 웨이퍼 처리를 위해서 배치될 수 있으며, 상기 데카르트 좌표계의 한 축선은 단일-웨이퍼 처리 반응기가 속하는 처리 모듈들 중 하나 이상의 웨이퍼 투입 면에 평행하다. 각각의 처리 모듈은 각각 독립 가스 분배 모듈을 갖춘, 최대 네 개의 단일 웨이퍼 처리 반응기를 포함할 수 있다.

Description

다중-단일 웨이퍼 처리 장치 {MULTI-SINGLE WAFER PROCESSING APPARATUS}
본 출원은 본원에 참조되며, 2004년 9월 13일 출원된 미국 가특허 출원 60/609,598호를 우선권으로 주장하며, 이의 정규특허 출원이다.
본 발명은 다중, 단일-웨이퍼 처리 챔버(반응기)를 갖는 반도체 웨이퍼 처리(예를 들어, 원자 층 증착, 화학 증착, 플라즈마 증착, 세정 또는 에칭, 등) 장치용 구조에 관한 것이다.
박막 기술 분야에서, 생산 산출이 점점 많아지고 생산성이 보다 높아지면서, 신규한 웨이퍼 처리 장치의 개발이 추진되고 있다. 예를 들어, 현재 이용되는 다수의 원자 층 증착(ALD) 시스템은 욕 처리 접근을 상업적으로 사용하며, 여기서 코팅되는 기판은 서로 다른 평면으로 배열되며, 상대적으로 다수의 기판이 단일 반응기 내에서 동시에 코팅된다. 이러한 장치의 평판은 ALD가 공정을 완성하기보다는 본래 보다 낮은 증착률을 갖기 때문에 크다. 몇몇의 기판을 욕 반응 챔버 내에서 동시에(평행으로) 처리함으로써, 총 웨이퍼 수율이 증가할 수 있다.
불행하게도, 욕 처리는 어느 정도의 본래 단점을 가지며, 욕 처리에 의한 ALD의 수율 제한이 한 세트의 문제점을 다른 문제점과 교환하는 것처럼 보이게 한다. 예를 들어, 욕 프로세서 시스템 내에서, 기판의 교차-오염은 상당한 문제점을 지닌다. 욕 처리는 공정 제어, 기판에서 기판과 욕에서 욕으로의 공정 반복성을 억제하며 배면 증착을 위한 후-처리 막(film)-제거 해결책을 필요로 한다. 이러한 모든 요소는 전반적인 시스템 유지, 생산량, 신뢰성, 및 이로 인한 순 수율과 생산성에 심하게 영향을 미친다.
높은 생산성 ALD 시스템 구성이 필요로 하는 것은 눈에 띌만한 수율 및 생산성을 제공하고, 동시에, 값비싼 클린룸 및 이와 관련된 제조 플로어 공간을 전통적으로 이용하면서 다중 기판이 처리되게 하는 것이다.
이러한 필요성을 나타내도록 시도하고 있는 하나의 종래 해결책은 메이단(Maydan) 등에 의한 미국 특허 제 5,855,681 호에 기재되어 있다. 특히, '681 특허에는 각각 한 쌍의 단일 웨이퍼 처리 영역을 갖추고 있는 다중 처리 챔버를 포함하는 반도체 웨이퍼 처리 장치가 기재되어 있다. 각각의 챔버의 처리 영역은 서로 격리될 수 있지만, 공통 가스 공급원 및 공동 배기 펌프를 공유하고 있다. 처리 챔버는 복합, 격리된 공정이 상이한 처리 영역 내에서 동시에 수행되어, 한 쌍의 웨이퍼가 각각의 챔버 내에서 동시에 처리될 수 있도록 구성된다. 각각의 처리 챔버의 각각의 처리 영역은 두 개의 웨이퍼를 로드록 챔버에서 처리 챔버의 한쌍의 처리 영역으로 동시에 전달하는 웨이퍼 핸들러를 포함하는, 공동 전달 챔버에 연결된다.
'681 특허는 "처리 영역이 배기 시스템을 통해 인접 영역과 선택적으로 연결될 수 있는 인접 영역으로부터 분리되는 제한된 플라즈마 존을 갖는다"는 점 때문에 각각의 처리 챔버의 처리 영역이 고립될 수 있다는 점을 나타내고 있다. 그러나, 가스를 각각의 처리 영역 내의 가스 분배 판 내측으로 제공하는 가스 라인은 단일, 공통 가스 공급원 라인에 연결되며, 챔버의 각각의 처리 영역에 가스의 전달을 위해 공유되거나 일반적으로 제어된다.
'681 디자인은 로딩과 관련하여 처리 모듈당 2 보다 큰 처리 영역을 배제하는 것처럼 보이며, 상대적으로 작은 풋프린트(footprint) 내에 2×3 보다 큰 처리 영역의 조립체에 한계를 두고 있다. 따라서, '681 특허에 제안된 해결책은 욕 형태 분위기 내에서 단일 웨이퍼 처리의 몇몇 이점을 제공하지만, 동시에 처리될 수 있는 웨이퍼의 수로서는 한계가 있다.
본 발명의 일 실시예에서, 웨이퍼 처리 장치는 내부에 반 독립 ALD 및/또는 CVD 막 증착을 위해 구성되는 다중, 별개의, 단일-웨이퍼 처리 반응기; 각각의 상기 웨이퍼 처리 모듈에 웨이퍼를 제공하며, 상기 모듈로부터 웨이퍼를 수용하도록 구성되는 로봇식 중앙 웨이퍼 핸들러; 및 로딩 및 언로딩 포트를 로봇식 중앙 웨이퍼 핸들러에 연결하는 국소 환경 및 로딩 및 언로딩 포트를 포함하는 단일-웨이퍼 로딩 및 언로딩 기구를 포함하는 하나 이상의 처리 모듈을 포함한다. 임의의 또는 모든 처리 모듈의 웨이퍼 처리 반응기는 (i) 데카르트 좌표계 축선을 따라서, 또는 (ⅱ) 상기 축선에 의해 형성되는 4분면 내에 웨이퍼 처리를 위해서 배열될 수 있으며, 상기 데카르트 좌표계의 한 축선은 단일-웨이퍼 처리 반응기가 속하는 처리 모듈들 중 하나 이상의 처리 모듈의 웨이퍼 투입 면에 평행하다. 각각의 처리 모듈은 최대 네 개의 단일 웨이퍼 처리 반응기까지 포함할 수 있으며, 바람직한 배열은 모듈당 3 또는 4 개의 이러한 반응기를 포함한다. 각각의 처리 모듈의 각각의 단일 웨이퍼 처리 반응기는 독립 가스 분배 모듈을 포함한다.
웨이퍼 처리 장치는 단일-웨이퍼 처리 반응기를 포함하는 처리 챔버의 꼭대기에 적층되는 화학 공급원 하위 모듈, 및 화학 공급원 하위 모듈의 꼭대기에 적층되는 전기 제어기 하위 모듈을 더 포함할 수 있다. 전기 제어기 하위 모듈 및 화학 공급원 하위 모듈은 하나 이상의 안내 포스트를 따라서 처리 챔버로부터 그리고 서로 수직으로 변위가능할 수 있다.
본 발명의 다른 실시예는 (i) 4분면 내에, 또는 (ⅱ) 데카르트 좌표계의 축선을 따라서 배열되는 최대 네 개(및, 바람직하게는 3 또는 4)의 반-독립 공정 존을 갖는 웨이퍼 처리 모듈을 포함하며, 상기 좌표계의 한 축선은 처리 모듈의 웨이퍼 투입 면에 평행하며, 상기 공정 존은 웨이퍼 처리를 위해 구성되어, 공정 존의 해당 존으로부터 인접 공정 존으로의 반응물 누출이 해당 공정 존에서의 반응물 증착율의 5×10-2 배 이하의 양으로 발생할 수 있다. 공정 존은 바람직하게는, 웨이퍼를 반-독립 공정 존으로부터/상기 공정 존에 로딩/언로딩시키도록 구성되는 웨이퍼 인덱서에 의해 균등하게 근접할 수 있다. 각각의 반-독립 공정 존은 독립 가스 분배 모듈을 포함할 수 있으며 그리고/또는 반-독립 공정 존은 (예를 들어, 반-독립 공정 존으로부터 방위-대칭적 배기를 제공하기 위해서 배열되는)공통 가스 배기 시스템을 공유할 수 있다.
본 발명의 또 다른 실시예는 전기 제어부들 및 가스 공급원 모듈의 적층부를 구비한 웨이퍼 처리 모듈을 제공하며, 상기 공급원 모듈은 반응기 리드에 연결되며, 상기 적층부는 반응기 챔버 아래로부터 분리 안내되며 수직 변위가능할 수 있어서, 리드, 전기적 제어기 및 가스 공급원 모듈의 제거를 총괄적, 또는 개별적으로 제공한다.
본 발명의 다른 실시예는 중앙 진공 로봇식 웨이퍼 핸들러로부터 웨이퍼를 순차적으로 수용하며, 상기 웨이퍼를 각각의 반응 챔버 존 내에 반응기 서셉터 상에 실질적으로 동시에 위치시키기 위해서, 인덱서의 개별 웨이퍼 엔드 이펙터를 이용하여 다중-단일 웨이퍼 반응 챔버의 내측 또는 외측으로 단일 웨이퍼를 이동시킴으로써 웨이퍼를 처리를 제공한다.
본 발명은 이에 제한되는 것은 아니지만 첨부 도면을 예로서 도시하고 있다.
도 1은 두 개의 처리 모듈 및 하나의 냉각 스테이션을 갖춘 본 발명의 실시예에 따라서 구성되는 웨이퍼 처리 장치의 평면도이며,
도 2는 단일 처리 모듈 및 하나의 냉각 스테이션을 갖춘 본 발명의 다른 실시예에 따라 구성되는 웨이퍼 처리 장치의 다른 평면도이며,
도 3은 세 개의 처리 모듈을 구비한 본 발명의 또 다른 실시예에 따라 구성되는 웨이퍼 처리 장치를 도시한 도면이며,
도 4는 세 개의 처리 모듈을 구비하며, 본 발명의 추가의 실시예에 따라 구성되는 웨이퍼 처리 장치를 도시한 도면이며,
도 5는 본 발명의 여러 실시예에 따라 각각 구성되는, 두 개의 다른 다중-단 일 웨이퍼 어레이 처리 모듈 레이아웃의 평면도 및 측면도이며,
도 6은 본 발명의 하나의 실시예인 4분면 디자인으로 구성되며 처리에서 주요 하위 모듈을 구비하여 도시된 처리 모듈을 도시하는 도면이며,
도 7은 본 발명의 실시예인, 4분면 디자인을 따라 구성되며, 상향 서비스 위치에서 전기 제어기 하위 모듈을 구비하여, 가스 공급원 모듈에 접근하는 처리 모듈을 도시하는 도면이며,
도 8은 본 발명의 4분면 디자인을 따라 구성되며, 상향 서비스 위치에서 전기 및 가스 공급원 하위 모듈을 구비하여, 공정 챔버에 접근하는 처리 모듈을 도시하는 도면이며,
도 9는 본 발명의 실시예에 따라서 4분면 구성을 갖는 반응 챔버 리드를 도시하는 도면이며,
도 10은 본 발명의 실시예에 따라서 4분면 구성을 갖는 반응 챔버 하우징의 절단면도이며,
도 11은 본 발명의 실시예에 따라서 4분면 구성을 갖는 반응 챔버 하우징의 평면도이며,
도 12는 본 발명의 실시예에 따라서 4분면 구성을 갖는 반응 챔버 하우징의 평면도이며,
도 13은 서셉터 위의 웨이퍼 및 웨이퍼로부터 회전하는 인덱서를 도시하는 본 발명의 실시예에 따라서 구성되는 웨이퍼 처리 장치의 절단면도이며,
도 14는 본 발명의 실시예에 따라서 구성되는 웨이퍼 처리 장치에 있어서 인 덱서 순서 및 웨이퍼 핸드-오프 순서(wafer hand-off sequencing)의 예를 도시한 도면이다.
다중-단일 웨이퍼 처리 챔버를 갖는 반도체 웨이퍼 처리(예를 들어, 원자 층 증착, 화학 증착, 플라즈마 기상 증착, 세정 또는 에칭, 등) 장치용 고유 구성이 기재되어 있다. 본 발명의 이해를 돕기 위해서 다수의 상세한 설명이 기재되어 있지만, 당업자들에게 명백할 수 있는, 본 발명의 사상 및 범위를 벗어나지 않고 본 발명의 실시예로 구성될 수 있는, 상세한 설명에서 다수의 변형이 있을 수 있다. 예를 들어, 다수의 웨이퍼 크기가 집적 회로 제조에 현재 이용되며, 본 발명의 실시예에 따라서 구성되는 처리 스테이션은 개개의 웨이퍼 크기 또는 웨이퍼 크기 범위를 수용하도록 구성될 수 있다. 게다가, 아래에 상세히 기재된 특징 이외에, 본 발명의 실시예는 몇몇의 본 발명자에 의해 적어도 부분적으로 개발되며 본 원에 참조되는, 본 발명의 양수인에게 양도되는 특허 출원 및 다음의 특허에 기재된 관련 웨이퍼 처리 장치의 몇몇의 또는 모두의 특징을 포함할 수 있다.
a. 미국 특허 6,387,185는 "원자 층 증착 공정을 위한 처리 챔버"를 명칭으로 하고 있다. 상기 특허에는 받침대 내의 고유 피드 스루 내측으로 플러깅되는 가열 판을 포함하는 상부 웨이퍼 지지 표면을 구비한 수직으로 변위가능한 받침대를 가지며 표준 클러스터 툴에 적합할 수 있는 처리 스테이션이 기재되어 있다. 하부 받침대 위치에서, 웨이퍼는 처리 스테이션에 그리고 상기 스테이션으로부터 전달될 수 있으며, 상부 위치에서, 받침대는 처리 챔버 내에 하부 원형 개구를 갖 추어 환형 펌핑 통로를 형성한다. 처리 챔버의 하부 개구에서, 이동, 교체식 링은 링을 교체함으로써 펌핑 속도를 다른 공정을 위해 맞춘다. 몇몇의 실시예에서, 받침대는 받침대 둘레의 환형 펌핑 통로를 형성하는 에워싸는 보호 덮개(surrounding shroud)도 갖는다. 두 개의 고유 존 가열 판은 받침대의 최상부에 위치되며, 가열 판을 신속하고 단순히 교체시키도록 고유 피드 스루에 연결한다. 몇몇의 실시예에서, 처리 챔버의 최상부는 이동할 수 있어서 사용자가 받침대 또는 가열 조립체, 또는 이둘 모두를 처리 스테이션의 개방 최상부를 통해 이동시킨다.
b. 미국 특허 5,879,459호는 "원자 층 증착을 위한 클러스터 툴 및 수직으로 적층되는 공정 반응기"를 명칭으로 한다. 본 특허에는 저 프로파일(low-profile), 소형 원자 층 증착 반응기(LP-CAR)가 기재되어 있으며, 단일 기판 또는 평면 배열 기판에 조력하도록 기판 처리 영역을 갖춘 저 프로파일 바디, 및 LP-CAR로부터 그리고 LP-CAR에 로딩 및 언로딩하는 기판을 위해 조절되는 로드 및 언로드 포트를 갖는다. 바디는 제 1 단부에서 가스 또는 증기를 주입시키는 입구 및 제 2 단부에서 가스 및 증기를 배출시키는 배기 출구를 갖는다. LP-CAR은 임의의 수평 치수 보다 작은 외부 높이를 가지며, 보다 바람직하게는, 임의의 수평 치수 2/3 이하이며, 고유 시스템 구조를 용이하게 한다. 내부 처리 영역은 수평 범위 1/4 이하의 수직 범위를 가짐으로써 구별되며, 신속한 가스 스위칭을 용이하게 한다. 몇몇의 실시예에서, 동시에 하나의 기판이 처리되며, 다른 실시예에서, 평면 배열로 처리 영역 내에 배열되는 다중 기판이 있을 수 있다. 소형 반응기(compact reactor)는 개개의 인젝터에 의해 구별되며 각각의 인젝터는 충진 밸브와 주입 밸브 사이에 형성되는 충진 관을 포함한다. 충진 밸브는 충진 관을 압력 조절 공급원에 연결하고 주입 밸브는 소형 반응기 내측으로 충진 관을 개방시킨다. 신속하게 주기를 이루면서, 밸브는 컴팩 반응기 내측으로 고정된 질량 충진의 가스 또는 증기를 주입시킨다. 다중의 이러한 소형 반응기는 수직으로 적층되며, Z-축선 로봇 및 로드/언로드 개구를 갖는 진공-처리 영역으로 결부된다.
c. 미국 공개 특허 출원 2003/0109094는 "대량으로 평행한 원자 층 증착/화학 증착 시스템"을 명칭으로 한다. 본 특허 출원은 개개의 수직으로 적층되는 ALD 또는 CVD 반응기의 이용을 위한 방법 및 장치가 기재되어 있다. 개개의 반응기는 독립적으로 작동할 수 있으며 유지될 수 있다. 가스 입구 및 출구는 일반적으로 축선-대칭 공정 제어를 위해 반응 챔버와 관련하여 수직으로 구성된다. 챔버 디자인은 덮이는 모듈이며, 반응기를 형성하는 베이스 플레이트는 개선된 유동 디자인을 갖는다. 복수의 ALD/CVD 반응기는 소형, 저 수직 프로파일을 가지므로, 반응기는 수직으로 적층될 수 있다. 적층된 증착로는 반응기들 중 하나의 반응기 내부에 위치되도록 로드 록 유닛으로부터, 반도체 웨이퍼와 같은 재료를 수용하기 위해서 연결된다. 일 실시예에서, 반응기에 상응하는 분리된 로드 록 유닛이 이용되어, 웨이퍼는 웨이퍼가 로드 록 내에 위치될 때 수직으로 적층되는 반응기 각각의 높이에 수직으로 위치될 수 있다. 수직으로 적층되는 ALD/CVD 반응기는 웨이퍼가 반응기 챔버 내에서 처리될 때 저 높이 프로파일을 갖지만, 챔버의 최상부에서 분리된 가스 입구 및 챔버의 바닥에서 분리된 배기부가 웨이퍼 전체에 걸쳐서, 대체로 축선-대칭 수직 가스 유동을 제공하게 한다. 수직 배열은 다중 웨이퍼가 다중 반응기를 수용하는 모듈 내에서 개별적으로 처리하게 한다. 일 실시예에서, 반응 챔버는 프레임 상에서 최상부 플레이트 및 바닥 플레이트를 위치시킴으로써 형성된다. 최상부 플레이트 및 바닥 플레이트는 특히 홈이 형성된 영역을 가지며, 이러한 특정 형상을 따르는 챔버의 최상부 및 바닥을 형성한다. 일 실시예에서, 챔버의 최상부 및 바닥은 챔버 내에서 대체로 축선-대칭 가스 유동을 개선하기 위해서 원뿔 형상을 갖는다. 다른 실시예에서, 뿔 형상 챔버는 가스 유동을 더 개선하기 위해서 옵션을 제공하도록 이용된다. 저 프로파일 반응기는 조립되는 저 프로파일 반응기의 총 수직 높이를 최소화하기 위해서 배기부를 위한 수평 도관을 포함하며, 통합되는 베이스 플레이트 및 수평 입력 도관을 포함하며 통합되는 커버 플레이트를 갖추어 개별적으로 구성된다.
첨부 도면과 관련하여 이후에 더 자세히 기재되는 바와 같이, 본 발명의 다중-단일 웨이퍼 구조는 동일하거나 보다 작은 풋프린트로, 8 또는 12에서 3 또는 4(즉, 처리 모듈 당 관련 반응기의 수가 각각, 3 및 4인, 8/3, 12/4)의 요소에 의해 종래의 시스템을 넘어 수율 향상을 제공한다. 종래의 시스템은 통상적으로, 약 3 wph/㎡의 "면적 생산성 미터법(areal productivity metric)을 가지며, 3 또는 4 단일 웨이퍼 공정 챔버를 이용하여 표준 로봇식 중앙 핸들러를 이용한다. 대조적으로, 본 발명의 웨이퍼 처리 장치는 (아래 기재되는 바와 같이)최대 3 개의 처리 모듈을 이용하며, 이들 각각은 (바람직하게는) 최대 네 개의 다중 단일 웨이퍼(MSW)를 갖는다. 본 발명은 200 또는 300 mm 직경과 같이 실질적으로 크기가 동일한 캐리어 상에 위치될 수 있는 수 백개의 보다 작은 부품을 이용하는 것이 적합할 수도 있다. 본 발명의 웨이퍼 처리 장치를 위한 반응기 디자인은 소정의 처리 모듈 내에서 네 개의, 반 독립 반응기의 배열을 이용함으로써 최적화될 수 있다. 반응기는 처리 모듈 내에서 "온-축선(on-axis)" 구성으로, 또는 바람직하게는 특정 플로어 공간 및 공정 제어 이점을 제공하는, "인-4분면(in-quadrant)" 구성으로 레이아웃 될 수 있다. 첨부 도면에 도시된 바와 같이, 웨이퍼 처리 장치는 적층되는 지지 모듈 구성을 이용할 수 있으며, 이러한 모듈은 화학 공급원, 전기 제어, 및 반응기 리드에 접근하고 제공하기 위해서 수동으로 이동할 수 있다. 게다가, 본 발명의 웨이퍼 처리 장치는 반응기 챔버의 효율적인 로딩 및 언로딩을 허용하는 고유 인덱싱 기구를 포함한다.
도 1과 관련하여, 웨이퍼 처리 장치(100)는 두 개의 처리 모듈(105, 106), 및 하나의 냉각 스테이션(107)을 구비하여 본 발명의 실시예에 따라서 구성되며, 평면도로 도시되어 있다. 이러한 웨이퍼 처리 장치는 소형 중앙 로봇식 진공 웨이퍼 전달 핸들러(110)를 포함하며, 이는 전술된 인용 특허/특허 출원들 중 하나 이상의 출원에 기재되어 있는 것과 유사하게 구성되며, 바람직하게는 MESC-SEMI 표준을 충족시킨다. 두 개의 처리 모듈 각각은 네 개의, 반-독립, 단일 웨이퍼 반응기를 포함한다. 도면에서, 이러한 반응기는 "인-4분면" 구성으로 도시되어 있다. 도면에 도시된 바와 같은 웨이퍼 처리 장치 실행은 대략 6 wph/㎡로 처리할 수 있다. 본 발명의 내용에서, "반-독립"은 소정의 반응기가 반응 존 내에서 5×10-2 이하, 및 바람직하게는 10-3 이하의 증착률을 특징으로 하는 양으로 인접한 반응기로부터 또는 반응 존으로부터 인덱서 아암 기구 상으로 반응물이 누출될 수 있다는 것을 의미한다.
웨이퍼 처리 장치(100)는 2 내지 25 웨이퍼를 이용하여 두 개의 25 웨이퍼 용량 진공 로드 록(130)에 대기 로봇식 웨이퍼 전달부(120)를 갖춘 종래의 소규모-환경, 세 개의 종래의 FOUP 로딩 모듈(112)을 갖추어 도시되어 있다. 원하면, 웨이퍼 정렬기(aligner)는 소규모-환경에 위치될 수 있지만, 이러한 구성은 도시되어 있지 않다. 웨이퍼 처리 장치(100)는 300 mm 또는 200 내지 300 mm 교량 구성으로 실행될 수 있다. 모듈은 공유되는 펌핑부를 갖지만, 독립 전구체 공급 주입부를 기판 표면 위에 가질 수도 갖지 않을 수도 있다. 독립 전구체 공급부는 막 증착 특징 매칭에 있어서 몇몇의 유연성 및 제어를 제공한다. 웨이퍼 처리 장치(100)의 각각의 처리 모듈(112) 내에 포함되는 것은 웨이퍼를 개별 처리 모듈(112)의 각각의 단일 웨이퍼 반응기로 이동시키도록 구성되는 고유 웨이퍼 픽 앤 플레이스 인덱서 기구(unique wafer pick and place indexer mechanism)이다. 인덱서 디자인은 아래에 더 기재되는 바와 같이 도 11, 12 및 도 13에 상세하게 도시되어 있다. 인덱서의 기능적 작동은 도 14와 관련하여 아래에 기재되어 있다.
도 2는 단일 처리 모듈(205) 및 하나의 냉각 스테이션(207)을 갖춘 웨이퍼 처리 장치(200)(전달 모듈로 지칭될 수도 있음)의 다른 실시예를 평면도로 도시하고 있다. 본 발명의 실시예는 종래의 중앙 로봇식 진공 웨이퍼 전달 핸들러(210) 및 네 개의, 반-독립, 단일 웨이퍼 반응기를 인-4분면 구성으로 갖는 단일 처리 모듈을 포함한다. 이러한 실행은 약 4.4 wph/㎡으로 처리될 수 있다.
웨이퍼 처리 장치(200)는 세 개의 종래 FOUP 로딩 모듈(212), 대기 로봇식 웨이퍼 전달부(220)를 2 내지 25 웨이퍼 용량의 두 개의 진공 로드 록(230)에 갖춘 종래 소규모-환경을 갖추어 도시되어 있다. 원하면, 웨이퍼 얼라이너는 소규모-환경에 위치될 수도 있지만 이러한 구성은 여기에 도시되어 있지 않다. 이러한 구성은 보다 작으며, 제한되는 생산성 입도를 위한 고 성능 시스템을 가지며, 300 mm 또는 200 내지 300 mm 교량 구성으로 실행될 수 있다. 처리 모듈은 공유되는 펌핑부를 갖지만, 독립 전구체 공급 주입부를 기판 표면 위에 가질 수 있다.
도 3은 도 1에 도시된 웨이퍼 처리 장치(100)와 실질적으로 유사하게 구성되지만, 대략 7.5 wph/㎡으로 (이론적으로) 처리할 수 있는 세 개의 처리 모듈을 가지며, 로딩 제한이 없는 것으로 보이는 웨이퍼 처리 장치(300)가 도시되어 있다. 실제로, 웨이퍼 로딩 제한은 면적 생산성을 제한할 수 있다.
도 4는 도 2에 도시된 웨이퍼 처리 장치(200)와 실질적으로 유사하게 구성되지만, 대략 10 wph/㎡으로 처리할 수 있는 세 개의 처리 모듈을 가지며, 로딩 제한이 없는 것으로 보이는 웨이퍼 처리 장치(400)가 도시되어 있다. 본 발명에 기재되는 다른 구성에서와 같이, 웨이퍼 로딩 제한은 면적 생산성을 제한할 수 있다. 모든 도면은 네 개의 단일 웨이퍼 반응기를 각각의 처리 모듈에 갖추어 도시되어 있지만, 처리 모듈 당 세 개의 단일 웨이퍼 반응기를 갖는 유리한 구성이 본 발명의 범위 내에서 조립될 수도 있다. 처리 모듈 구성 당 세 개의 반응기를 위해서, 4분면(90°구획) 구성이 3분면(120°구획) 구성으로 대체된다.
유사하게, 네 개 보다 많은 단일 웨이퍼 처리 모듈 하우징이 이용될 수 있으며, 본 발명의 범위 내에서 고려될 수 있다. 예를 들어, 모듈 하우징(5, 8), 또는 다른 수의 반응기가 이용될 수 있다. 이러한 경우에, 본 발명에 기재되는 인덱서 장치는 적합한 수의 웨이퍼를 수용하도록 변경될 필요가 있다. 몇몇의 경우에, 이는 아래 기재되는 중앙, 원형 인덱서 디자인으로부터 벗어나는 것을 의미하며, 대신에 선형 전달 이동뿐만 아니라 회전도 포함하는 인덱서를 채택한다(예를 들어, 처리 모듈 내에 수용되는 반응기의 둘레 주변 또는 사이의 레이스 트랙(race track); 또는 이들의 교대 측면 사이에 배열될 수 있는 반응기 사이의 중앙, 선형 트랙 배열과 공통점이 있다).
도 5는 각각 네 개의 웨이퍼 용량(500)을 갖추어 두 개의 다른 다중-단일 웨이퍼 배열 처리 모듈 레이아웃의 평면도 및 측면도이다. 도면에 있어서 각각의 장치의 상부 도면은 평면도(503)이며, 하부 도면은 측면도(507)이다. 두 개의 구성은 로드(서방 위치)를 위해 좌측 상에 웨이퍼 투입 포트(510)를 가지며, 네 개의 웨이퍼 배열 처리 모듈을 이용한다. 네 개의, 반-독립, 챔버 면적(520)은 사각형 경계(525) 내에서 내접될 수 있는 배열을 형성하며, 사각형 한계의 측면은 좌측 레이아웃(540)에 있어서 입력 포트(530)의 평면에 45°(또한 135°)이며, 우측 레이아웃(550)에 있어서 입력 포트(530)의 평면에 0°(또한 90°)이다. 좌측 레이아웃(540)은 "온-축선(on-axis)" 또는 단순히 "축선" 레이아웃으로 지칭되며, 우측 레이아웃은 "인-4분면" 또는 "4분면" 레이아웃으로 지칭된다. 이러한 용어는 축선 디자인 내에 웨이퍼가 데카르트 좌표계의 축선 상에 놓이면서 4분면 레이아웃 내의 웨이퍼가 데카르트 좌표계(즉, 각각의 웨이퍼는 4분면 내에 놓임)의 4분면 내에 위치되기 때문에 이용된다. 각각의 경우에, 데카르트 좌표계의 하나의 축선은 웨이퍼 "로딩 라인"으로서 형성되는 레이아웃의 "x" 축선에 수직인 웨이퍼 로딩 슬롯(510)을 통해 통과하는 것으로 추정된다.
인-4분면 레이아웃 구성은 축선 레이아웃 보다는 보다 작은 모듈 면적(1911 sq. 단위 대 2021 sq. 단위)를 가지며, 도 1 및 도 3에 도시된 전반적인 시스템 구조 내에 보다 양호한 패킹 밀도를 제공하기도 한다. 축선 디자인을 이용하는 것은 이러한 구조에 있어서 가능할 수 있지만, 동일한 수율 및 기능성을 위해 보다 큰 풋프린트를 야기할 수 있다. 다중 단일 웨이퍼 장치의 나머지 특징은 4분면 레이아웃을 이용하여 기재되고 도시되지만, 각각의 예에서 축선 레이아웃이 이용될 수 있다.
웨이퍼를 각각의 반응기 내에 로딩시키기 위해서, 상부에 로딩되는 웨이퍼를 갖는 인덱서(아래에 더 기재됨)는 처리 모듈의 중앙 축선 둘레에서 회전한다. 입구 로드 주기 위치(entry load circle position)는 도 5의 상부 우측부 내의 4분면 레이아웃을 도시하는 도면 내에 입력 포트(530)는 평면으로부터 주기 중심적인 어느 정도의 거리(555)에 의해 도시되어 있다.
인-4분면 디자인의 이점 중 하나는 웨이퍼 입구 슬롯 밸브의 효과에 의해 야기되는 동요(perturbations)의 공유이다. 온-축선 디자인에서, 동요는 단일 웨이퍼에 가해진다. 부가적으로, 슬롯 밸브의 효과는 본원에 전체가 참조되며 본 발명의 양수인에게 양도되는, 미국 특허 5,855,675 및 6,174,377에 기재되는 바와 같은 수직으로 이동할 수 있는 서셉터의 이용에 의해 오프셋될 수 있다.
따라서, 몇몇의 실시예에서, 본 발명은 데카르트 좌표계의 4분면 내에 웨이퍼 처리를 위해 배열되는 최대 네 개의 독립 공정 존을 갖는 처리 모듈을 제공하며, 상기 좌표계의 축선은 처리 모듈의 웨이퍼 투입 평면에 평행 및/또는 수직이다. 인-4분면(또는 축선) 반응기 존은 플라즈마와 같은 다른 단일 웨이퍼 공정 또는 ALD 및/또는 CVD 막 증착용 장치 내에 이용되며, 세정 또는 에칭 공정은 하나 이상의 다중, 반-독립, 웨이퍼 처리 모듈로 구성되는 구조를 갖는다.
도 6은 공정 위치 내에 모든 주요 하위 모듈을 갖추어 도시되며, 4분면 디자인으로 구성되는 처리 모듈(600)을 도시하고 있다. 백 모듈(back module)은 가스 박스(610)이다. 최고의 모듈은 전기 제어 박스(620)이다. 전기 제어 박스 아래에 적층되는 것은 화학 공급원 모듈(630)이며, 차례로 그아래에 적층되는 것은 내부에 4분면 디자인으로 레이아웃되는 개개의 반응기를 포함하는 공정 챔버(640)이다. 웨이퍼 입구 슬롯(650)이 포함되며, 서셉터-히터 하드웨어를 포함하는 개개의 웨이퍼 반응 실린더 하우징(660)도 도시되어 있다.
적층되는 전기, 공급원 모듈 박스 및 반응 챔버 리드(645)는 평행한 안내 지지 포스트(들)(680)을 이용하여 공정 챔버(640)에 대해 이들을 상승시키기 위해서 수직으로 이동될 수 있다. 이러한 디자인은 다른 하위 모듈 및 다른 서비스 기능에 모듈 접근을 위해 제공한다.
따라서, 본 발명의 실시예에 따라서 구성되는 웨이퍼 처리 모듈은 전기 제어부들 및 가스 공급원 모듈의 적층부를 포함하며, 상기 공급원 모듈은 반응기 리드에 연결된다. 전체 적층부는 반응 챔버로부터 안내 분리되고 수직 이동할 수 있어서 리드, 전기 제어부 및 공급원 모듈의 제거를 총괄적 또는 개별적으로 제공한다.
도 7은 4분면 디자인을 따라서 구성되는 처리 모듈(700)을 도시하고 있으며, 공급원 모듈에 액세스를 제공하는, 상향 서비스 위치 내에 전기 제어기 하위 모듈(720), 공급원 모듈(730)의 절단 부분으로 도시된 가스 분배 모듈(735)을 갖추어 도시되어 있다. 평행한 안내 지지 포스트(780)는 래치 세트 장치(785)를 갖춘 높이 레벨을 위해 인덱싱된다. 개개의 하위 모듈은 동력 리프트 기구(상세하게 도시되지 않음)을 이용하여 수직으로 상향할 수 있다.
전반적인 웨이퍼 처리 장치의 다른 특징은 전술된 특징과 유사하다. 백 모듈은 가스 박스(710)이다. 그 아래에 적층되는 것은 화학적 공급원 모듈(730)이며, 내부에 4분면 디자인으로 레이아웃되는 반응기를 포함하는 공정 챔버(740) 상에 적층된다. 웨이퍼 입구 슬롯(750)이 포함되며, 서셉터-히터 하드웨어를 포함하는 개개의 웨이퍼 반응 실린더 하우징(760)이 또한 도시되어 있다. 포트(770)는 필요하기 때문에 도시되어 있다.
도 8은 4분면 디자인에 따라서 구성되는 처리 모듈(800)을 도시하고 있으며, 상향 서비스 위치 내에, 공정 챔버에 접근하는 전기 및 공급원 모듈 하위 모듈을 갖추어 도시하고 있다. 적층되는 전기 박스(820), 공급원 모듈 박스(830) 및 반응 챔버 리드(845)는 안내 지지 포스트(880)를 이용하여 공정 챔버(840)에 대해 이들을 상향시키기 위해서 수직으로 이동된다. 인덱서(860)에 의해 유지되는 웨이퍼(865)를 갖춘 공정 챔버는 4분면 서셉터 위의 상승된 위치에 있다. 본 발명의 실시예의 다른 특징은 전술되 바와 유사하다. 백 모듈은 가스 박스(810)이며 웨이퍼 입구 슬롯(850)이 제공된다.
도 9는 4분면 구성을 갖는 반응 챔버 리드(900)를 도시하고 있다. 리드 플레이트(945)는 반응 챔버의 진공하에서 리드에 강성도를 제공하기 위해서 이용되는, 교차-빔(915)을 갖추어 구조적으로 강화된다. 온도 제어는 가스 분배 모듈을 위해 수용기 영역(955) 둘레에 라인(925)을 추적한다.
도 10은 4분면 구성을 갖는 반응 챔버 하우징(1000)의 절단면도이다. 다소 웨이퍼 직경(예를 들어, 일 실시예에서, 300 mm)보다 큰 직경을 갖는 네 개의 공간 공동 구역(1020)은 서셉터-히터의 배치를 위해서 절단된다. 일 실시예에서, 각각의 개개 하위-챔버 공동은 하류의 공동 펌프에 연결되는 두 개의 비-대칭 가스 출구 도관(1040)을 갖는다. 차례로, 각각의 도관은 하우징 아래를 지나가는 인접한 4분면 도관(1050)에 연결된다. 컴퓨터 모델링은 웨이퍼 표면 위에서의 가스 유동 프로파일을 확인하는데 이용되며, 웨이퍼 표면 위에서의 속도는 출구 도관이 방위 대칭인 경우와 유사하다. 다른 경우에, 보다 방위 대칭인 도관 디자인이 이용될 수 있다. 10% 보다 더 양호한, 보다 바람직하게는 2 % 보다 더 양호한 대칭 유동 및 방위 압력 대칭 미터법이 바람직할 수 있다. 도 10에 도시된 디자인과 다른 도관 디자인은 본 발명의 범위 내에서 고려된다. 각각의 히터-반응기 공간 영역에는 아래에 기재되는 바와 같이 수직 이동가능한 서셉터-히터 부품을 제공하는 큰 절단부(1060)가 중심에 있다.
도 11은 4분면 구성을 갖는 반응 챔버 하우징(1100)의 평면도이다. 웨이퍼 픽 앤 플레이스 기구(1160)은 처리 모듈 챔버 하우징(1165) 내에 도시되어 있으며; 인덱서는 중앙 진공 로봇식 웨이퍼 핸들러로부터 각각의 단위 웨이퍼 반응기 웨이퍼(1135)를 이동시킬 수 있다. 인덱서(1160)는 단일 웨이퍼를 중앙 핸들러로부터(핸들러에) 집어올리기 위해서(또는 투하하기 위해서), 불연속 환형 이동, 즉 (4)네 번의 순차적 90°회전을 할 수 있다. 이러한 각 위치와 관련된 45 도 회전이 또한 이용될 수 있다. 이는 도 14와 관련하여 아래에 더 상세히 기재된다. 도면에서, 인덱서(1160)는 하나의 웨이퍼를 각각 갖추어 이미 로딩되어 있으며, 웨이퍼 (웨이퍼가 비처리된다면)"플레이스" 또는 (웨이퍼가 처리된다면)"픽" 위치 내에서 서셉터-히터 위치 위에서 웨이퍼(1135)를 유지시킨다.
도 12는 4분면 구성을 갖는 반응 챔버 하우징(1200)의 다른 평면도이다. 본 도면에서, 인덱서(1260)는 웨이퍼 "플레이스" 또는 "픽" 위치로부터 벗어나 45°회전된다. 웨이퍼(1235)는 공정 위치 내의 서셉터-히터 상에 있다. 서셉터-히터 에지(1237)는 가시적이다.
도 13은 서셉터/웨이퍼로부터 벗어나 회전하는 인덱서(1360), 및 서셉터(1337) 위의 웨이퍼(1335)를 도시하는 절단면도이다. 모터 구동부(1390) 및 45°자제 연계 드라이버(1393)가 또한 도시되어 있으며, 공기 실린더 드라이버(1395) 및 수직 이동가능한 서셉터(VMS)(1397)는 VMS용 개개의 하우징 내의 중심에 있다. 네 개의 서셉터-히터들 중 세개가 도시되어 있다. 웨이퍼(1335)는 서셉터 표면(1337) 및 인덱서(1360)의 평면 위의 상향 위치에 있다. 웨이퍼 리프트 핀 드라이버(1399)가 도시되어 있지만, 여러 리프팅 장치가 이용될 수 있다. 네 개의 웨이퍼 인덱서는 45°또는 90°이동될 수 있으며, 각각의 이러한 제어는 독립적이다. 구동 기구는 내장된 가속-감속(accelerate-de-accelerate) 성능을 갖고 있다.
도 14는 인덱서 순서 및 웨이퍼 핸드-오프 순서(1400)의 예를 도시하고 있다. 다섯 개의 도면은:우측에서 좌측으로 연속 도시되는 1410, 1430, 1450, 1470, 및 1490으로 도시되어 있다.
제 1 작동(로드 뷰(load view; 1410)로 도시됨)은 웨이퍼 로딩이며, 이로써, 네 개 모두의 웨이퍼는 챔버 내의 인덱서의 아암 상에, 그렇지 않으면, 웨이퍼 또는 전구체 공정 가스 없이 로딩된다. 본 공정에서, 네 개의 웨이퍼는 인덱서 아암 상에 순차적으로 로딩된다. 엔드 이펙터(1412)는 남-동 위치에서 아암을 수용하는 인덱서 상에 최후 4 개의 웨이퍼를 위치시키는 것으로 도시되어 있다. 네 개 모두의 웨이퍼가 인덱서 상에 로딩된다면, 인덱서는 45°회전되며, 인덱서는 서셉터-히터(1430 참조)의 중심 위에서 웨이퍼를 위치시킨다. 두 세트의 원이 도시되어 있으며: 이중 하나는 인덱서의 네 개의 아암 상에 로딩되며, 네 개의 4분면 웨이퍼 서셉터 위치로부터 45°위치되는 웨이퍼를 갖추고 있다(인덱서 순서를 용이하게 도시하기 위해서, "4분면 레이아웃" 대신에 "축선 레이아웃"을 도시하고 있지만 본 원에 기재된 작동은 둘 모두에 동일하게 이용된다).
제 2 작동은 배치이다. 배치 도(1430)는 네 개의 웨이퍼를 도시하고 있으며, 핀이 인덱서의 평면 위에서 특히, 인덱서의 패들 또는 "그리퍼" 위에서 상승되는 웨이퍼가 인덱서의 평면 위에 있으며, 인덱서는 45°회전하여, 인덱서의 엔드 이펙터는 서셉터-히터들 사이에 위치된다. 리프트 핀은 회수되어 웨이퍼를 서셉터 히터(도 1450 참조)들 사이에 인덱서 아암을 갖춘 서셉터 상으로 하강 위치시킨다. 수직으로 전달가능한 상향 서셉터-히터(받침대)는 미국 특허 6,387,185에 기재된 바와 같은 가스 분배 및 환형 펌핑 도관에 대해 선택적 공정 존 내에 웨이퍼를 위치시키는데 이용될 수 있다.
제 3 작동은 공정 작업이다. 공정 도(1450)는 전구체가 웨이퍼 표면에 노출될 때 웨이퍼 처리 장치 구성을 도시하고 있다. 인덱서의 엔드 이펙터는 전구체의 직행 통로로부터 우선 웨이퍼를 갖춘 반응기에서 전구체를 유지시킨다. 인덱서 아암은 증착 주기 중에 가스 유동에 최소의 영향을 미치게 할 수 있다. 증착 중에, 인덱서 상의 와류 누출 증착은 바람직하게는 소정의 반응기 내의 증착의 5×10-2 보다 적다.
네 개의 작동은 다음 공정 도(1470)에 도시된 바와 같이, 서셉터로부터 인덱서의 엔트 이펙터로의 웨이퍼의 배치이다. 웨이퍼 상의 막 증착이 완성되면, 수직 전달 가능한 회수되는 서셉터-히터(받침대)는 웨이퍼 픽 작동에 적합할 수 있는 하강 위치를 달성하기 위해서 이용될 수 있다. 리프트 핀은 인덱서의 평면 위에서 웨이퍼를 상승시키며 인덱서는 웨이퍼 아래에서 회전한다. 리프트 핀은 회수하고 웨이퍼는 도시된 바와 같이 서셉터-히터의 중앙 위에서, 인덱서의 엔드 이펙터 상에 위치된다.
제 5 작동은 언로드 도(1490)에 도시된 바와 같이 언로딩된다. 인덱서는 45°회전되며, 출구(입구) 슬롯 상에 증착되는 막을 갖추어 웨이퍼를 제공하며, 언로드 도(1490)의 남동 방향으로 도시되어 있다. 웨이퍼는 중앙 웨이퍼 로봇식 웨이퍼 핸들러의 엔드 이펙터(1412)를 통해 동시에 인덱서로부터 제거된다.
물론, 전술된 순서 보다는 다른 로딩/처리 순서가 이용될 수 있다.
시스템 수율은 웨이퍼가 정면 개방 단일 포드(FOUP)(112, 212, 312, 412)로부터 욕 로드 록(130, 240, 340, 430) 내측으로 로딩될 수 있으며, 중앙 진공 로봇식 챔버를 통해 처리 모듈에 로딩될 수 있는 비율 함수뿐만 아니라 공정 시간 함수 이다. 50 wph 수율을 갖는 처리 모듈에 있어서, 시스템 수율은 대략 46 wph일 수 있다. 100 wph의 고유 총 수율을 갖는 두 개의 처리 모듈에 있어서, 시스템 수율은 대략 75 wph 이지만, 이는 웨이퍼 취급 강화에 의해 개선될 수 있다. 따라서, 본 발명의 실시예는 ALD 또는 CVD 막 증착의 목적을 위해 다중-단일 웨이퍼 반응 챔버 존 내측 또는 외측으로 웨이퍼를 이동시키기 위한 웨이퍼 취급 장치 및 공정을 제공한다. 전술된 바와 같이, 이러한 장치의 실시예는 네 개의 수용 웨이퍼 엔드 이펙터를 포함하며, 상기 엔드 이펙터는 중앙 진공 로봇식 웨이퍼 핸들러로부터 웨이퍼를 순차적으로 수용하기 위해서 이용되며, 막 증착을 위해 반응기 서셉터 상에(실질적으로 동시에) 상기 웨이퍼를 위치시키도록 구성된다.
본 발명의 시스템은 평행 모드로 작동될 수 있으며, 여기서 모든 웨이퍼는 웨이퍼가 서셉터 상에 로딩된 후에 함께 그리고 동시에 처리된다. 이와 달리, 공정은 다른 공정에 의해 수반되는 하나의 반-독립 단계로 실행될 수 있다. ALD의 경우에, 노출은 하나의 처리 모듈 내에서 발생할 수 있지만, 여러 노출 또는 퍼지(purge)는 다른 처리 모듈 상에서 발생할 수 있다. 본 발명의 웨이퍼 처리 장치는 원격 또는 직접 플라즈마 하드웨어가 각각의 반-독립 반응기로 구성되는, 플라즈마 강화 공정과 양립할 수 있다. 각각의 4분면의 공급원은 페드(fed)에 평행할 수 있거나 독립적일 수 있다. 펌프 구성은 공유될 수 있거나 독립적일 수 있다.
본 발명의 시스템은 평행 모드로 작동될 수 있으며, 여기서 모든 웨이퍼는 웨이퍼가 서셉터 상에서 로딩된 후에 함께 그리고 동시에 처리된다. 이와 달리, 공정은 다른 공정에 의해 수반되는 하나의 반-독립 단계로 실행될 수 있다. ALD의 경우에, 노출은 하나의 처리 모듈 내에서 발생할 수 있지만, 여러 노출 또는 퍼지는 다른 처리 모듈 상에서 발생할 수 있다. 본 발명의 웨이퍼 처리 장치는 원격 또는 직접 플라즈마 하드웨어가 각각의 반-독립 반응기로 구성되는, 플라즈마 강화 공정과 양립할 수도 있다. 각각의 4분면의 공급원은 페드(fed)에 평행할 수 있거나 독립적일 수 있다. 펌프 구성은 공유될 수 있거나 독립적일 수 있다. 이와 달리, 또는 게다가, 연속 및/또는 평행 처리는 하나 이상의 처리 모듈 내의 반응기 내에서 실행될 수 있다. 예를 들어, 증착률이 균형잡히는 경우에, 2 반응기는 하나의 공정(예를 들어, 막 형태)으로 실행될 수 있으며, 2 반응기는 여러 공정(예를 들어, 여러 막 형태)으로 실행될 수 있다. 증착률이 균형잡히지 않는 또 다른 경우에, 다수의 반응기는 보다 낮은 증착률 공정 및 보다 높은 증착률 공정을 위해 이용되는, 보다 적은 수의 반응기에 제공될 수 있다.

Claims (21)

  1. 삭제
  2. 삭제
  3. 하나 이상의 처리 모듈들로서, 각각의 처리 모듈이 (i) 내부에 반-독립 ALD 막 증착 및 CVD 막 증착 중 하나 이상을 위해 구성된 다중, 별개의, 단일-웨이퍼 처리 반응기들, 및 (ⅱ) 웨이퍼들을 각각의 개별 처리 모듈의 각각의 단일 웨이퍼 처리 반응기에 제공 및 상기 각각의 단일 웨이퍼 처리 반응기로부터 회수하도록 구성된 웨이퍼 픽 앤 플레이스 인덱서 기구를 구비하는, 하나 이상의 처리 모듈들; 그리고
    웨이퍼들을 각각의 처리 모듈에 제공 및 상기 각각의 처리 모듈로부터 수용하도록 구성된 로봇식 중앙 웨이퍼 핸들러;를 포함하고,
    상기 처리 모듈들 중 하나 이상의 처리 모듈은 네 개의 단일-웨이퍼 처리 반응기들을 포함하고, 상기 하나 이상의 처리 모듈이 포함하는 네 개의 단일-웨이퍼 처리 반응기들은, 데카르트 좌표계의 축선들에 의해 정의되는 4분면 내에서 웨이퍼 처리를 위해 배치되고,
    상기 데카르트 좌표계의 하나의 축선은 상기 단일-웨이퍼 처리 반응기들이 속하는 상기 하나 이상의 처리 모듈들의 웨이퍼 투입 평면에 평행하고,
    상기 처리 모듈들 중 하나 이상의 처리 모듈의 상기 단일-웨이퍼 처리 반응기들 각각이 독립 가스 분배 모듈을 포함하고,
    상기 처리 모듈들 중 하나 이상의 처리 모듈의 상기 단일 웨이퍼 처리 반응기들 각각이 공통 가스 배기 시스템을 공유하는,
    웨이퍼 처리 장치.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 3 항에 있어서,
    상기 단일-웨이퍼 처리 반응기를 보유하는 처리 챔버의 꼭대기에(atop) 적층된 화학 공급원 하위 모듈, 그리고
    상기 화학 공급원 하위 모듈의 꼭대기에 적층된 전기 제어기 하위 모듈을 더 포함하는,
    웨이퍼 처리 장치.
  8. 제 7 항에 있어서,
    상기 전기 제어기 하위 모듈 및 상기 화학 공급원 하위 모듈은
    하나 이상의 안내 포스트들을 따라서 상기 처리 챔버로부터 그리고 서로로부터 수직하게 변위 가능한,
    웨이퍼 처리 장치.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제 3 항에 있어서,
    상기 공통 가스 배기 시스템은 반-독립 공정 존들 각각으로부터 방위-대칭 배기를 제공하도록 배치되는,
    웨이퍼 처리 장치.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
KR1020077007199A 2004-09-13 2005-09-13 다중-단일 웨이퍼 처리 장치 KR101248188B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US60959804P 2004-09-13 2004-09-13
US60/609,598 2004-09-13
US11/224,767 2005-09-12
US11/224,767 US20060137609A1 (en) 2004-09-13 2005-09-12 Multi-single wafer processing apparatus
PCT/US2005/032902 WO2006031956A2 (en) 2004-09-13 2005-09-13 Multi-single wafer processing apparatus

Publications (2)

Publication Number Publication Date
KR20070052331A KR20070052331A (ko) 2007-05-21
KR101248188B1 true KR101248188B1 (ko) 2013-03-27

Family

ID=35500887

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007199A KR101248188B1 (ko) 2004-09-13 2005-09-13 다중-단일 웨이퍼 처리 장치

Country Status (5)

Country Link
US (1) US20060137609A1 (ko)
EP (1) EP1800329A2 (ko)
JP (1) JP2008513980A (ko)
KR (1) KR101248188B1 (ko)
WO (1) WO2006031956A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150098456A (ko) * 2014-02-20 2015-08-28 주식회사 원익아이피에스 기판 처리 장치
KR20180013034A (ko) * 2016-07-28 2018-02-07 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20080072820A1 (en) * 2006-06-30 2008-03-27 Applied Materials, Inc. Modular cvd epi 300mm reactor
US20080263022A1 (en) * 2007-04-19 2008-10-23 Blueshift Innovations, Inc. System and method for searching and displaying text-based information contained within documents on a database
KR101394111B1 (ko) 2008-02-11 2014-05-13 (주)소슬 기판처리장치
JP5285403B2 (ja) * 2008-04-15 2013-09-11 東京エレクトロン株式会社 真空容器およびプラズマ処理装置
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5511273B2 (ja) 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5548430B2 (ja) * 2008-11-26 2014-07-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
CN101768731B (zh) 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
KR101135853B1 (ko) * 2009-05-29 2012-04-16 주식회사 케이씨텍 원자층 증착장치
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
WO2014035768A1 (en) * 2012-08-30 2014-03-06 Orbotech Lt Solar, Inc. System, architecture and method for simultaneous transfer and process of substrates
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP2016537805A (ja) * 2013-09-26 2016-12-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理のための混合プラットフォームの装置、システム、及び方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10770338B2 (en) 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11107709B2 (en) * 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102235493B1 (ko) * 2019-04-04 2021-04-02 (주)에스티아이 기판처리장치
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN115989573A (zh) * 2020-06-25 2023-04-18 朗姆研究公司 具有用于背面处理的不同站支持特征的多站处理工具
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813418B (zh) * 2020-12-30 2022-05-24 无锡邑文电子科技有限公司 基于ald技术的晶圆原子层沉积控制***及高效晶圆生产方法
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023059431A1 (en) * 2021-10-08 2023-04-13 Lam Research Corporation Multi-station processing module and reactor architecture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11345860A (ja) * 1988-05-24 1999-12-14 Balzers Ag 真空処理装置及び方法
US20040108068A1 (en) * 2000-05-17 2004-06-10 Shigeru Senzaki Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6217272B1 (en) * 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US6430468B1 (en) * 2000-11-17 2002-08-06 Applied Materials, Inc. Method and apparatus for accurate placement of semiconductor wafers onto respective platforms within a single reaction chamber
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11345860A (ja) * 1988-05-24 1999-12-14 Balzers Ag 真空処理装置及び方法
US20040108068A1 (en) * 2000-05-17 2004-06-10 Shigeru Senzaki Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150098456A (ko) * 2014-02-20 2015-08-28 주식회사 원익아이피에스 기판 처리 장치
KR102080761B1 (ko) * 2014-02-20 2020-02-24 주식회사 원익아이피에스 기판 처리 장치
KR20180013034A (ko) * 2016-07-28 2018-02-07 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법

Also Published As

Publication number Publication date
US20060137609A1 (en) 2006-06-29
WO2006031956A2 (en) 2006-03-23
KR20070052331A (ko) 2007-05-21
JP2008513980A (ja) 2008-05-01
WO2006031956A3 (en) 2007-06-07
EP1800329A2 (en) 2007-06-27

Similar Documents

Publication Publication Date Title
KR101248188B1 (ko) 다중-단일 웨이퍼 처리 장치
US6902624B2 (en) Massively parallel atomic layer deposition/chemical vapor deposition system
US6387185B2 (en) Processing chamber for atomic layer deposition processes
KR100351747B1 (ko) 저-프로파일, 컴팩트 원자층 증착반응기(lp-car) 및 수직 적층 컴팩트 원자층 증착 반응기(vescar) 프로세싱 유닛
US9524889B2 (en) Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
TWI407497B (zh) 多區域處理系統及處理頭
US9691649B2 (en) Linear vacuum robot with z motion and articulated arm
EP2009671B1 (en) Substrate treating apparatus
US6630053B2 (en) Semiconductor processing module and apparatus
EP1182695B1 (en) Semiconductor processing module and apparatus
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US6149365A (en) Support frame for substrates
US20190385873A1 (en) Vacuum Processing Apparatus, Vacuum Processing System and Vacuum Processing Method
TWI795570B (zh) 半導體多站處理腔體
TWI394224B (zh) 載送及處理基板之裝置與方法
KR102495469B1 (ko) 일괄 처리 챔버
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
KR20050068560A (ko) 트윈 공정챔버 및 이를 이용한 클러스터 툴 시스템 및클러스터 툴 시스템을 이용한 박막 증착 방법
US20230085987A1 (en) Linear arrangement for substrate processing tools
KR101412063B1 (ko) 저비용 고생산성을 갖는 향상된 고속 기판 처리 시스템
CN112289722A (zh) 用于处理基板的传送单元和装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170306

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200225

Year of fee payment: 8