JP3217798B2 - 化学蒸着プロセスのための多目的プロセス室 - Google Patents

化学蒸着プロセスのための多目的プロセス室

Info

Publication number
JP3217798B2
JP3217798B2 JP53866898A JP53866898A JP3217798B2 JP 3217798 B2 JP3217798 B2 JP 3217798B2 JP 53866898 A JP53866898 A JP 53866898A JP 53866898 A JP53866898 A JP 53866898A JP 3217798 B2 JP3217798 B2 JP 3217798B2
Authority
JP
Japan
Prior art keywords
process chamber
pedestal
annular
inner diameter
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP53866898A
Other languages
English (en)
Other versions
JP2000510652A (ja
Inventor
ドーリング、ケネス
ガレウスキー、カール・ジェイ
Original Assignee
ジーナス・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジーナス・インコーポレーテッド filed Critical ジーナス・インコーポレーテッド
Publication of JP2000510652A publication Critical patent/JP2000510652A/ja
Application granted granted Critical
Publication of JP3217798B2 publication Critical patent/JP3217798B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【発明の詳細な説明】 発明の分野 本発明は、化学蒸着(CVD)プロセスを行うための装
置並びに方法の分野のものであり、特に、広範囲のCAVD
処理に適用され得る多目的プロセス室に関する。
発明の背景 集積回路の製造は、一般的に、ベースとなる半導体材
料のウエハの上に、種々の材料の薄膜並びに層を形成
し、これら膜の所定の領域を構造並びに回路を形成する
ように除去するプロセスである。堆積されるシリコン
は、代表的なベースとなる材料である。ICの製造におい
て、層を堆積するために幾つかのプロセスが知られてお
り、この中の1つが化学蒸着(CVD)である。
このCVDは、ガスもしくは蒸気の形態でプロセスに導
入され得る材料の薄膜並びに層を堆積するための良く知
られた方法である。例えば、ポリシリコンが、シランガ
ス(SiH4)により堆積され得る。また、シランと、六フ
ッ化タングステンのようなタングステン保持ガスとを含
む混合ガスからタングステンシリサイドを堆積すること
が知られている。また、純粋なタングステンが、集積回
路の製造において、ときには選択的に、また、ときには
“ブランケット”タングステンとして知られているプロ
セスで前表面を覆うようにして、シリコンウエハの上に
堆積される。
代表的なCVDプロセスにおいて、ウエハが、シール可
能なチャンバ内の支持体の上に載置され、チャンバがシ
ール並びに排気され、ウエハが、代表的にはウエハ支持
体を加熱することにより、加熱され、そして、混合ガス
がチャンバの中に導入される。例えば、ブランケットタ
ングステンのプロセスにおいて、六フッ化タングステン
(WF6)と水素とが、反応ガスとして、導入され、ま
た、アルゴンが、不反応ガスとして導入され得る。この
WF6は、堆積されるタングステンのソースである。
代表的には、CVDプロセスでのガスは、処理の間、連
続的に流される。コーテングされる基板(ウエハ)の温
度は、ウエハの表面にタングステンを堆積させる化学反
応を果たす変数の1つである。この温度と、他の変数の
中でも混合ガスとして導入される種々のガスの濃度と、
コーテングされる表面全体に渡ってのガス流れの均一性
のような特性とを制御することが重要である。堆積され
る層の厚さが均一であることが究極の特性である。
CVDプロセスの歴史において、異なる種類のCVDプロセ
スが開発されている。あるプロセスにおいては、単一の
元素材料が堆積され、また、他のプロセスにおいては、
材料の化学的組合わせ、即ち、複数種類の材料の混合物
が、別々の材料を保持したガスを導入することにより堆
積され得る。
多くのCVDプロセスにおいて、化学現象は吸熱であ
り、堆積は加熱により果たされる。多くの場合、熱は、
コーテイングされる基板を直接に加熱することにより加
える。
多くの場合、熱は、コーテイングされる基板を直接に加
熱することにより加えられる。
他のプロセスにおいて、プラズマがプロセスチャンバ中
に発生され、エネルギーが、高周波電力の供給により、
必要な化学現象を生じさせるように加えられる。
歴史的には、開発された多くの種類の堆積プロセス
は、プロセスチャンバやエネルギー並びにプロセスガス
を導入するための装置等の高度に個性があり独特な堆積
装置へと導かれている。また、装置のデザインは、単一
チャンバ−単一基板システムから、幾つかの基板を同時
に処理可能なバッチ処理システムへと、そして、最終的
に、エアーロック並びに高真空搬送チャンバ間の搬送
を、ロボット形態の搬送装置で果たす分離された単一チ
ャンバ−プロセスステーションへと、発展されている。
このプロセスステーションで基板の安定した流れが一連
の異なるチャンバ間で果たされ得る。また、基板の一連
の独立した処理を可能としたこのシステムは、クラスタ
ーツールとしてこの分野で知られている材料取り扱い設
備に基づいている。
本発明の出願の時点での半導体装置製造工業におい
て、クラスターツールのデザインは、複数のモジュラー
構成部品が単一のシステムにおいて種々の製造業者から
提供され得る点に標準化されている。例えば、ある供給
者により製造されたクラスターツール搬送システムは、
他の供給者により製造された堆積/コンディショニング
−プロセスステーションに適用され得る。このようにし
て、広範囲で異なるプロセスステーションが開発されて
いる。
この広範囲で異なるプロセスステーションは、必ずし
も便利でも有効でもない。例えば、クラスターツールを
基本とするシステムにおいて、プロセス自体並びにプロ
セスの順序を変更する必要が生じた場合、一般に、クラ
スターツール搬送装置に適用されたプロセスステーショ
ンの幾つかもしくは全てを完全に取り外して置き換える
必要がある。このようなシステムの全体構造を再構築す
ることは大変であり、また、真空チャンバや真空ポンプ
装置を物理的に置き換えるのと同様に、電源と、ガス供
給と、制御系の接続の全てを含む時間のかかる難しい一
連の仕事が必要である。
明らかに要求されていることは、ドライエッチングの
ようなコンディショニングプロセスと同様に、広範囲の
CVD並びにプラズマCVDプロセスをなし得る共通のプラッ
トホームを提供する多目的プロセスチャンバである。
発明の概要 本発明の好ましい実施の形態においては、クラスター
ツールシステムのためのプロセスステーションが設けら
れており、これは、第1の内径を有するほぼ円形の下縁
を備えたプロセスチャンバ部分と、このプロセスチャン
バ部分の下に位置され、真空吐出ポートと、基板搬送ポ
ートと、前記第1の内径よりも大きい、プロセスチャン
バ部分と真空吐出ポートとの間の第2の内径とを有する
ベースチャンバ部分と、前記第1の内径よりも小さい外
径を有するほぼ円形の上方支持面を備え、そして、垂直
方向の移動を可能にするダイナミック真空シールによ
り、前記搬送ポートの下でベースチャンバ部分に適合さ
れた基板支持台座と、前記プロセスチャンバのほぼ円形
の下縁と同一平面の処理位置、もしくは、前記吐出ポー
トの上方で搬送ポートの下方であるベースチャンバ部分
内の下方搬送位置に、前記上方支持面を位置させるよう
に基板支持台座を移動するように設けられた垂直移動駆
動システムとを具備する。前記基板支持台座が処理位置
にあるときに、この基板支持台座の外径と、前記大きい
第1の内径とは、真空吐出ポートを通るプロセスチャン
バ部分からの第1の制限された吐出速度を決定する第1
の環状領域を有する第1の環状の吐出通路を形成するよ
うに設定され、また、前記基板支持台座が下方搬送位置
にあるときに、この基板支持台座の外径と、前記大きい
第2の内径とは、前記第1の制限された吐出速度よりも
早い、プロセスチャンバからの第2の吐出速度を可能に
するように、前記第1の環状領域よりも大きい第2の環
状領域を有する第2の環状吐出通路を形成するように設
定されている。
ある実施の形態においては、前記第1の内径は、交換
可能なリングにより規定され、一定の外径と夫々異なる
内径とを有する複数の交換可能なリングを夫々交換する
ことにより、前記第1の吐出速度が早くなるように変更
され得る。また、ある実施の形態においては、ほぼ環状
の上方支持面の所から始まる基板支持基台の部分を囲
み、ほぼ環状の上方支持面の下方に延びた環状の覆いが
設けられており、ほぼ環状の上方支持面の高さの所でこ
の環状の覆いの径は、前記第1の内径とほぼ等しく、こ
の結果、前記基板支持台座が処理位置にあるときに、環
状の覆いは、この環状の覆いと基板支持台座との間で環
状の覆いの中に流れてプロセスチャンバからの全てのガ
スの流れを拘束するように第1の内径と合うように設定
されている ある実施の形態においては、前記プロセスチャンバの
上方外縁を閉塞する取り外し可能な蓋が設けられ、ま
た、前記ダイナミックな真空シールは、前記蓋とこのダ
イナミックな真空シールとが取り外されて、プロセスチ
ャンバ領域内からこのプロセスチャンバ領域の上方への
基板支持台座が抜かれることを可能にするような、取り
外し可能なシールである。前記取り外し可能な蓋は、基
板支持台座が処理位置にあるときに、この基板支持台座
の上に支持された基板の露出面全体に渡って均一にプロ
セスガスを供給するためのガス分布システムを有し得
る。
本発明の一態様においては、前記基板支持台座は、前
記上方支持面と平行で、プロセスチャンバのための真空
境界を規定する閉塞プレートと、この閉塞プレートとは
断熱され、プロセスチャンバ側に位置するヒータプレー
トと、このヒータプレートの上方に、これとは離間して
配置され、前記上方支持面を規定した、電気的に絶縁さ
れたサセプターとを有する。また、ある実施の形態にお
いては、前記ヒータプレートは、別々に電力が供給され
る内方加熱領域と外方加熱領域とを有し、これら内方加
熱領域と外方加熱領域とへの電力を制御することによ
り、ヒータプレート全体に渡っての温度プラファイルが
制御され得る、ほぼ円形のヒータプレートである。前記
内方加熱領域は、ヒータプレートに形成された少なくと
も1つの環状アークにより、前記外方加熱領域とは分離
され得る。
本発明の他の態様においては、ヒータプレートは、ユ
ニークな電気コネクターにより基板支持台座に組み合わ
され、この電気コネクターは、前記電気コネクターは、
ほぼ平坦なヒータプレートの面から直角に延びた少なく
とも1つの電気的接触ポストを具備し、この電気的接触
ポストは、円形パターンに配列され、電気接触を果たす
ように導電性の中空円筒ソケットと係合するように設定
された、複数の導電性カンチレバー形式のばねフインガ
ーを有する。多くの実施の形態において、このようなポ
ストが2つ以上使用されている。
本発明の他の態様において、ユニークなポストを有す
るヒータプレートは、ユニークなフイードスルーと適合
され、このフイードスルーは、真空バリアー壁に形成さ
れた開口を介して装着されるように設定され、かくし
て、真空バリアー壁を境にして真空側と非真空側とが存
在するフランジ/真空シール構造体と、真空側と非真空
側との両方に存在し、第1の円形開口が第1の深さを有
し、第1の内径の開口が真空側にある非導電性部分とを
有する本体と、非真空側から真空側の第1の円形開口中
へと前記非導電性部分を介してシールされた導電性ポス
トと、第2の円形開口と前記第1の内径よりも小さい外
径とを有し、前記非導電性部分の第1の円形開口中に装
着され、かつ第1の円形開口内で導電性ポストに接続さ
れた導電性ソケットとを具備する。この実施の形態にお
いては、前記導電性ソケットは、前記第1の開口内で横
方向には可動であるが、第1の深さの方向には不動であ
る。
本発明のある実施の形態においては、非導電性部材
が、電気的接触ポストの位置でのヒータプレートと、ユ
ニークなフイードスルーとの間に、セラミック壁が複数
の導電性ポスト並びにソケット間に配置されてシーョト
サーキットを生じさせるポストの直視の線の係合を防止
するように、設定されている。
本発明の種々の実施の形態において、設けられたプロ
セスステーションは、一般に利用されているステーショ
ンよりも汎用性がある。本発明の種々の実施の形態のス
テーションは、例えば、処理位置に台座を上昇させるこ
とにより、吐出速度を容易かつ迅速に調節することがで
きる。さらに、プロセスチャンバのサイズと形状は、チ
ャンバー構造体を交換することにより、容易に調節され
得る。さらに、交換可能な蓋装置により、一般の処理能
力に、異なる電極並びにガス分布システムを与え得る。
同じような方法で、ルーチン化した保守点検とクリーニ
ングとが、この分野で既知のプロセスステーションより
も極めて容易にすることができる。
図面の簡単な説明 図1Aは、この分野で知られており、また本発明の実施
の形態で使用されるようなクラスターツールを基礎とし
たプロセスシステムの具体化された平面図である。
図1Bは、この分野で知られている従来のCVDプロセス
ステーションを概略的に示す断面図である。
図2Aは、本発明の好ましい実施の形態に係わる多目的
プロセスステーションの等角投影図である。
図2Bは、図2Aに示す多目的プロセスチャンバの分解図
である。
図2Cは、図2Aに示す多目的プロセスステーションの切
断等角投影図である。
図2Dは、プロセスモードで示される、図2Aの多目的プ
ロセスチャンバの断面図である。
図2Eは、搬送モーデ示される、図2Aの多目的プロセス
チャンバの断面図である。
図3は、電気的フイードスルー装置を有する台座の図
2Eからの断面図である。
図4Aは、図3の電気的フイードスルーの断面図であ
る。
図4Bは、図4Cの切断線4B−4Bに沿う、図4Aの本体の集
合体の断面図である。
図4Cは、図4Aのフイードスルー装置の上面図である。
図5Aは、図3からのセラミック絶縁体バリアーの側面
図である。
図5Bは、図5Aの側面図で示す絶縁体バリアーの平面図
である。
図6Aは、本発明の実施の形態の2領域ヒータプレート
の等角投影図である。
図6Bは、図6Aのヒータプレートの平面図である。
図6Cは、図6Aのヒータプレートの側面図である。
図7Aは、本発明の実施の形態のコネクターポストの等
角投影図である。
図7Bは、図7Aのコネクターポストの端面図である。
図7Cは、図7Aのコネクターポストの断面図である。
好ましい実施の形態の説明 図1Aは、従来技術と本発明との両方で使用されるよう
な、クラスターツールを基礎としたプロセスシステムの
概略的な平面図である。このクラスターツール自身は、
真空チャンバ101内で実質的に作動する材料取り扱いシ
ステムである。ウエハ搬送装置103は、真空チャンバの
中心から作動するように配置されており、また、実質的
に円形の真空搬送チャンバ101の周囲の複数の地点に夫
々付加されたプロセスステーションへと、またこれらか
ら、基板、代表的には、IC製造シーケンスでの半導体ウ
エハを、回転並びに伸長により、配置並びに取り出すよ
うに適合されている。
図示するシステムにおいては、番号1ないし6が付け
られた6つのステーション位置があり、これらステーシ
ョンの各々は、装着フランジとスリットバルブとの配列
102により、前記チャンバに適合されている。このよう
な設定において、2つのステーション5,6は、ウエハを
チャンバ101に出し入れするためのエアーロックとして
使用される。このチャンバ101は、図示しない真空装置
により、高真空に維持される。残りの4つのステーショ
ン1〜4は、プロセスのために使用可能である。
ウエハは、ロードロック104を介して、チャンバ101の
中に外部より搬入され、代表的には順次4つのプロセス
ステーションを通って、アンロードロック105から外に
搬出される。しかし、ウエハは、搬送装置103が所望の
順序で配置ならびに取り出しを可能としているので、4
つのプロセスステーションを順次通る必要はない。
図1Bは、図1Aのステーション106の縦断面図であり、
このようなプロセスステーションの付加の代表的な態様
を示す。このステーション106は、フランジにスリット
が形成されたバルブ装置102により、図1Aのチャンバ101
とは境界をなした、シールド可能なプロセスチャンバに
基づいている。ウエハが処理のためにチャンバ107に搬
入され、処理の後にこのチャンバ107から取り出される
ことが、この境界を通ってなされる。また、このチャン
バ107は、真空ポンプ用のポート109を有し、このポート
を介して、チャンバは排気される。また、このチャンバ
は、処理の間、ウエハを支持するための、加熱可能な加
熱台110を有する。この処理で使用されるガスは、ガス
供給/制御ユニット115から、導管(1もしくは複数)1
14を流れて、リングマニホールド113並びにシャワーヘ
ッドマニホールド112を介して、導入される。
図1Aに示すシステムでは、チャンバ101は、チャンバ
内を全て高真空に保ように、常時、実質的な真空ポンプ
により排気される。この目的は、プロセスステーション
間の大気による汚染を防止することである。処理される
ウエハは、ロードックチャンバ104内で、特に、キャリ
ア内で位置され、このロードロックは、チャンバ101内
の真空レベルのオーダの真空レベルに排気される。そし
て、内方バルブが開成され、かくして、ウエハは、搬送
装置103によりロードロックから取り出されて、プロセ
スチャンバ1〜4のいずれか1つに搬送される。
代表的には、プロセスチャンバのうちの1つでの処理
の間、真空ポンプは、過度のプロセスガスを使用しない
で、プロセスチャンバの圧力を制御するように絞られて
いる。このような絞りは、制御可能な開口を備えたバル
ブを含む、幾つかの手段により果たされ得る。代表的な
処理サイクルにおいては、処理が終わった後に、ガスは
ユニット115(図1B)でバルブ調節で供給が停止され、
前記絞りのための機構が、プロセスチャンバ内で最大吐
出速度を可能にするように開成される。この目的は、プ
ロセスチャンバ内のガス圧が搬送チャンバ107内のガス
圧に近くなるように、ガス圧を減じるためである。そし
て、装置102のスリットバルブが開成され、搬送装置103
がプロセスチャンバの中に入り、ウエハ111を回収す
る。この回収されたウエハは、代表的には、装置103を
介して、他のプロセスステーションに搬送され、ロード
ロックから、即ち、他のプロセスステーションからのウ
エハは、搬入されて加熱台111の上に載置された後に、
搬送装置は、引っ込む。
新たなウエハが、プロセスチャンバ内の加熱台の上に
載置されると、装置102に関連したスリットバルブが再
び閉じられて、プロセスチャンバを搬送チャンバ101か
ら隔離する。そして、プロセスガスが、ユニット115か
ら、導管114を介して導入され、また、吐出速度が絞ら
れる。
おおよそ上述したように、図1A並びに1Bを参照して説
明した一般的な特性のプロセスステーションでなされる
多くのプロセスがある。例えば、クリーニング、エッチ
ング、バックスパッタリング、及び多くの異なる堆積方
法がなされ得る。代表的には、各プロセスは、プロセス
に応じて特別にデザインされたチャンバにより行われ
る。
図2Aは、広範囲のプロセスを果たすことの可能な、本
発明の好ましい実施の形態に係わる多目的プロセスステ
ーションの等角投影図である。そして、図2Bは、図2Aに
示す多目的プロセスチャンバの分解図である。また、図
2Cは、図2A並びに2Bに示す多目的プロセスステーション
の切断等角投影図である。また、図2Dは、プロセスモー
ドで示される、図2Aの多目的プロセスチャンバの断面図
である。そして、図2Eは、搬送モーデ示される、図2Aの
多目的プロセスチャンバの断面図である。実施の形態に
おける多目的ステーションは、比較的複雑な装置なの
で、幾つかの図と断面とが、ステーションの態様と部材
とを良く説明するために与えられており、多目的プロセ
スステーションでの動作に従う説明が、与えられた全て
の図を参照することにより最っとも良く理解される。
主に図2A並びに2Bに示すように、多目的プロセスステ
ーション201は、他の部材の組み合わされて真空容器と
なるベースチャンバ203により、クライスターツールに
装着されている。このベースチャンバ203は、フランジ2
07の所で終端している、側方に延びる通路を規定した延
出部205を有する。このフランジは、ステーションがシ
ステム100(図1A)に装着するように、クラスターツー
ル搬送装置の対応したフランジに装着するように適合さ
れている。スリットバルブは、図示されておらず、この
実施の形態では、フランジ207が装着されるクラスター
ツールの一部である。
円筒状(この実施の形態では)のプロセスチャンバ20
4は、界面で一体的な真空封止を与える真空シールによ
りベースチャンバ203の上端に装着されており、蓋集合
体261が真空シールでプロセスチャンバの上端にシール
されている。この実施の形態では、前記蓋集合体は、プ
ロセスチャンバにヒンジ留めされており、また、プロセ
スチャンバの中にプロセスガスを導入するための装置を
有している。この蓋集合体と、これに関連した装置と
は、以下により詳しく説明される。説明のこの部分のた
めに、蓋集合体と基台とを備えたプロセスチャンバが閉
じたプロセス容積を与えることに注意することは(図2C
並びに図2D)、重要である。
駆動集合体209が、真空シールで水平フランジにより
ベースチャンバ203の下側に装着されている。この水平
フランジは、図示しない真空ポンプシステムへの装着の
ための側方出口213を有する円筒状の上方ハウジング211
の一部である。この上方ハウジングは、クライスターツ
ールの真空搬送チャンバに強固に装着されたベースチャ
ンバ203に強固に装着されていることにより、以下のさ
らなる説明から明らかになるような他の部材のための構
造的支持を果たす固定部材となっている。
前記駆動集合体の目的は、内部の台座装置215(図2
B)を上下に移動させることである。この台座装置は、
処理されるウエハを支持しかつ加熱するための加熱支持
体を構成している。この台座が最下位置にあるときに、
ウエハは、ベースチャンバに搬入され、支持体の上に載
置され得、そして、搬送装置が延出部205から抜かれ、
関連したスリットバルブが閉成された後に、台座は上昇
して、これに支持されたウエハをプロセスチャンバ内の
処理される位置へと移動させる。
前記駆動装置と台座装置215との関係は、図2C,2D,2E
に、特に良く示されている。この台座装置215は、ヒー
タプレートと、電気絶縁プレートと、以下に詳述される
他の部材とを備えた上部217を有する。この駆動装置に
係わるこの説明のために、駆動装置への台座装置の接続
が、主に重要である。
図2Eは、ウエハ219を上部217上で支持し、最下位置に
ある台座装置215を示す。この位置で、搬送装置(図1A
に符号103で示す)は、延出部205を通ってベースチャン
バに入り、台座装置の上部上のウエハを摘んだり載置さ
せたりすることが可能である。この説明のために、ウエ
ハ219が台座装置の上に載置されているのが想定され得
る。
駆動装置209の上方ハウジング211に注意が向けられ
る。この上方のハウジング211よりも直径が短く硬く円
筒状の下方ハウジング221が、上方ハウジング211から下
方へと延びている。前記台座装置215は、上方構造体224
と、フランジ227の所で終端している下方延出部225とを
有する。このフランジ227には、外方円筒部材223が装着
されており、この円筒状部材と下方延出部との間に環状
の領域を規定している。この外方円筒部材223は、ベア
リング材で裏打ちされており、前記下方ハウジング221
を囲んでこれにしっかりと嵌め合うように適合されて、
偏心した負荷がなく、信頼性良く台座装置215を上下に
移動させるための垂直方向リニアーガイドを形成してい
る。
真空に対しての一体性は、金属ベローズ233により、
台座装置を垂直方向に移動可能にしなが、全装置に対し
て維持されている。このベローズ233は、下端のフラン
ジ227と、円筒状の下方ハウジング221の下端に、その外
側で固定されたフランジ229との間をシールしている。
このフランジ229は、ベースチャンバ203に装着されたハ
ウジング211に取着された下方ハウジング221の一部とし
て、固定的である。前記フランジ227は、台座装置215の
下方延出部225に取着されているので、台座装置215と共
に上下に移動する。台座装置が下方に移動されるのに従
って、ベローズ233は伸び、また、台座装置215が上方に
移動されるのに従って、ベローズ233は、縮まる。この
台座装置は、フランジ229の内側と、主にシリンダー223
内の内部ベアリングとにより、垂直路内に拘束されてい
る。
前記駆動集合体209と、台座装置の上下方向の移動と
に関して、台座装置215を最下位置(図2E)と最上位置
(図2D)との間で移動させる機構を説明することが残っ
ている。主に図2Aを参照して、駆動集合体209は、ガイ
ドハウジング238内で伸長可能なシャフト237を備え、こ
の実施の形態では、電気的に駆動かつ力が与えられるリ
ニアーアクチュエータ235を有する。この伸長可能なシ
ャフトは、図示しない制御システムから受ける制御信号
に従って、ハウジング238内で伸縮可能である。また、
この伸長可能なシャフト237の一端は、駆動集合体の上
方ハウジング211に、Uリンクにより枢着されている。
U字形状のトラックを有するヨーク集合体241が、前記
シリンダー223(これは台座装置215に強固に取着されて
いる)を囲み、かつ、U字形状のトラックの両端部でク
ランプバー243の両端に枢着されている。このクランプ
バーは、駆動ハウジング238をクランプしている。
図2B並びに2Cに示すように、前記ヨーク集合体241
は、前記シリンダー223の両側に夫々装着された2つの
ベアリング245と係合している。図2Bに示すように、カ
ムトラック/ヨーク集合体241のU字形状のトラックの
湾曲端の中心には、長さが調節可能なリンク247の一端
が、Uリンク249により枢着されている。このリンク247
の他端は、Uリンク251により、ハウジング211に取着さ
れている。
上述した構成において、伸長可能なシャフトが伸ばさ
れるのに従って、ヨーク集合体は、シャフト237が伸ば
される長さの約1/2の距離だけシリンダー223が下方に移
動されるように、関節としてUリンク249の所で装着物
を備えたレバーとして動かされる。この結果、台座装置
は、全体的に、プロセスチャンバ並びにベースチャンバ
に対して下方に移動される。同様に、シヤフト237が縮
まるのに従って、台座装置は、ベースチャンバ並びにプ
ロセスチャンバに対して上方に移動される。
台座装置がベースチャンバ並びにプロセスチャンバに
対して移動可能とするための機構や、本発明の範囲から
逸脱しないでなされ得る、図示された機構における種々
の変更があることは、当業者にとって自明であろう。例
えば、エアーシリンダー、エアーオイルシステム、流体
圧システム等、使用され得る幾つかの異なる伸長駆動が
ある。説明した実施の形態は、円滑な移動と精度とを与
える。
本発明の一態様において、延出部205を介してのウエ
ハの搬入、搬出のための下方位置と、処理のためのプロ
セスチャンバの中への台座上のウエハの上方での位置付
けでの上方位置とを与える台座装置の垂直方向の移動
は、また、上方位置と下方位置との間での異なる吐出速
度を与える。また、これを可能にする部材は、プロセス
ステーションでの実際の吐出速度の変更をも容易にす
る。
図2D並びに2Eに示すように、リング形状のライナー25
3がベースチャンバ203がプロセスチャンバ204と結合さ
れる所に配置されている。このライナー253の内径は、
台座が最上位置にあるときに、台座215の上縁とライナ
ー253との間に形成された環状通路255(図2D)の領域を
決定する。また、このライナー253は、熱電同率の低い
材料により形成されており、この結果、台座がプロセス
(最上)位置にあるときに、加熱される台座に最も近い
プロセスチャンバとベースチャンバとの部分に対する保
護を与えている。
前記ライナー253と関連して、台座215は、基台に取着
されかつ成形された吐出用の円環を形成する環状の覆い
257を有する。台座215が最上位置にあるときに、この覆
い257が台座215の本体と共に形成している上方の円環
は、ライナー253と一致する覆いの上方リムにより円環2
55と一致する。図2Dに示すように、側方の吐出用出口ポ
ート213を介しての、プロセスチャンバからの吐出のた
めの通路は、覆い257と基台の本体との間に形成された
環状通路を通ることは明らかである。
図2Eに示すように、基台215が、ステーションに対し
てウエハを搬入、搬出のための最下位置に移動される
と、プロセス領域からのガスは、上述した円環状の覆い
の中を通り得るが、覆いの外側の周りで領域259を通っ
て、ハウジング211の領域に流れ、さらに、吐出用ポー
ト213を通って排出される。
吐出速度は、処理の後とウエハの搬送の間は、比較的
早くなければならないことが要求され、そして、処理の
間には、全ガス圧を補償するように処理の間の遅く制御
された速度に絞られなければならないことはこの分野の
者にとって良く知られている。従来のシステムにおい
て、これは、代表的にはチャンバの吐出ポート内の、絞
りバルブ等によりなされている。本発明のこの態様にお
いては、この相違は、取着された突出覆いを備えた台座
装置の垂直方向の移動により、簡単になされる。この態
様において、絞りバルブは、正確なプロセス圧力制御の
ために使用され得る。
前記ライナーの覆いとのためのあるデザインは、夫々
処理において、全く異なる吐出速度を必要としているCV
DとPECVDとの両方での広範囲の処理のために与えられる
のではないことが、この分野の者にとって明らかであろ
う。本発明の説明された実施の形態において、異なるプ
ロセスのためには、台座を取り外し、覆いとライナーと
を代えるだけで良い。これは、ルーチン化した保守点検
とクリーニングのための計画的な中断時間でなされる得
る。さらに、この交換は、さらに以下に詳細に説明する
ように、チャンバの他の態様ユニークなデザインによ
り、比較的簡単なことである。
図2Aないし2Dに示すように、プロセス領域は、蓋リン
グ263と、絶縁リング265と、ガス拡散集合体267との備
えた蓋集合体261により閉塞されている。このガス拡散
集合体267は、プロセスガスを導入するための、図示し
ない複数のポートと、プロセスガスをプロセスチャンバ
に導く複数の内部流路と、プロセスチャンバ内の所定位
置にある台座215上の処理されるウエハの全体に渡って
均一にプロセスガスを分布させるようにプロセス領域に
設けられた拡散部材とを有する。このような、ポート
と、流路と、拡散部材とはこの分野では良く知られてい
る。
前記拡散装置267は、この拡散装置に電気的かつ熱的
絶縁を与え、種々の処理方法で要求されるように拡散装
置に他の部材に対する電圧の印加を可能にしている前記
絶縁リング265の中にはめ込まれている。このような電
圧の印加は、例えば、プラズマ強化CVD方法で知られて
いるように、プラズマを形成するようにチャンバ内のプ
ロセスガスを励起するために使用され得る。前記絶縁リ
ング265は、真空シールで真空的一体性を与えると共に
強固な蓋集合体を形成するように、蓋リング263と拡散
装置267とに結合している。好ましい実施の形態におい
ては、蓋集合体261は、着脱可能な真空シールを介して
プロセスチャンバ204にヒンジ留めされており、クリー
ニングや保守点検のためのアクセスをルーチンに従い比
較的容易に可能にしている。
主に図2D並びに2Eに示すように、プロセスチャンバの
内部へのアクセスは、蓋集合体261を緩めて外方に移動
させることにより、なされ得る。ステーション201の底
で、下部225がフランジ227に取着されているところでフ
ランジ227に容易なアクセスができる。蓋集合体を開成
した状態で、フランジ227から台座装置215を外し、これ
をプロセスステーションの開口した上から取り外すこと
ができる。このような仕様は、クリーニングや保守点検
を必要とするプロセスチャンバの部分への、また、新た
なそして異なる処理状態とするためのライナーや覆いの
交換のための迅速から簡単なアクセスを可能にする。
従来技術に対しての重要な改良は、台座215の上部領
域の構造に対してなされている。図3は、図2Dでの円形
破線269の部分での台座215の上部領域の断面図である。
上述し、また、特に、図2Cを参照することによりわかる
ように、台座215は、上方構造体224と下方延出部225と
の集合体である。この上方構造体224は、閉塞プレート2
26により上部が閉じられており、また、これら部材225,
226,224は、本質的に中空の真空封止構造体をなすよう
に真空シールで組み合わされている。上方の閉塞プレー
ト226は、図3を参照して以下に詳述されるように、処
理の間、ウエハを支持するための電極部材並びにヒータ
部材を支持する。この台座装置215の閉塞プレート226
は、図3ではベースプレートであり、通常のO−リング
等の真空シールのためや高温により損傷されるような他
の部材のために動作温度を維持するように水冷される。
図3を参照して、閉塞プレート226には、この実施の
形態では、2つの貫通孔が形成されており、この中を、
ユニークな電気的フイードスルーユニット301が貫通し
ている。1つの貫通孔が図3は示されているが、好まし
い実施の形態では、後で詳述するように、ユニークな1
つのヒータプレートのために2つの貫通孔が形成されて
いる。このフイードスルーユニット301は、真空的一体
性を果たすように真空シールで閉塞プレート226に適合
されている。閉塞プレート226の1つの貫通孔中のフイ
ードスルーユニット301は、ヒータプレート303内のヒー
タ部材に電力を供給する。このヒータプレート303は、
電気絶縁プレート305により、前記閉塞プレート226から
離間されている。このヒータプレート303の機能は、処
理の間、上にウエハが載置されているサセプター307を
加熱することである。
前記サセプター307は、熱電動率の高いグラファイト
でほとんど形成された構造体であり、僅かな距離D1だけ
ヒータプレート303とは離間されている。また、このヒ
ータプレート303は、この間隔D1を介しての対流並びに
伝導によりサセプター307を加熱する。この結果、サセ
プターの上面全体に渡って、かくしてウエハの表面全体
に渡って比較的一定の温度を与える助けとなっている。
また、このサセプターは、高周波電圧の印加のための有
効な電極を構成している。かくして、このサセプター
は、図3には示していないRFフイードスルーを介して印
加される電気的要素を、これを必要とするプロセスに対
して、構成している。
2つのフイードスルー301を、RFパワーのための第2
のフイードスルーに接続するヒータ電力線、並びに、種
々の他の導線やコネクターが、台座装置215の中空の内
部(図2C,2D,2Eを参照)に沿って案内されていることに
より、閉塞プレート226の下面の領域に設けられてい
る。電力供給のためや、台座装置215の構成部材や他の
部材への他の利用のための上記電力線並びに導線は、簡
単にするために図面には示されていないが、一般的に、
既知のように外部電源や利用される供給源から延びてい
る。
ここで述べられている好ましい実施の形態において
は、閉塞プレート226には真空シールされた幾つかの他
の貫通孔が形成されているが、特には図面に示されてい
ない。これら貫通孔は、適当なフイードスルーを備え、
内部の部材の温度を感知するための熱電対、並びにサセ
プターの温度をモニターするための少なくとも1つの光
学センサーを収容している。このようなフイードスルー
は、この分野では一般的に知られている。代表的には所
定パターンの3つのセラミック製のピンを移動させるた
めのエアーシリンダーで動作される機構が、良く知られ
ているように、ヒータ/サセプター集合体に適用され
て、サセプター307の表面に対してウエハを上下させる
ように設定されている。この結果、搬送装置は、ウエハ
の下に延びてサセプタープレートに対するウエハの持ち
上げ並びに配置が可能となっている。好ましい実施の形
態においては、流圧シリンダーが、これの可動半体がベ
ローズシールを介して閉塞プレートの中の開口を通って
延長可能なように、閉塞プレート226の下側に適用され
ている。この流体圧シリンダーは、1/2インチのストロ
ークを有し、ヒータとサセプタープレートとに形成され
た小孔を貫通した3つのセラミック製のピンを支持し、
ヒータの下方に配置されたスパイダーを駆動する。
台座装置215は、ウエハが搬送可能である図2Eに示す
下降位置にあるときには、サセプター307上のウエハ
は、上述した流体圧シリンダーにより駆動されて上昇す
るセラミック製のピンにより、サセプターの上面から持
ち上げられ得る。そして、搬送アーム(図1の符号103
を参照)がプロセスステーション内で、ピン上のウエハ
の下で、サセプターの上方に沿うに延出され得る。この
ときに、ピンを引っ込めることにより、ウエハは搬送ア
ーム上に載置され、このアームは、ウエハと共に取り出
され得る。このプロセスは、サセプターの上に、処理さ
れる新たなウエハを載置する場合には逆となる。
図3に示すフイードスルー301が、図4Aに分離して示
されている。セラミック本体403が、この実施の形態で
はインターメタリックボンディングにより金属本体405
に結合されている。この金属本体は、閉塞プレート226
(図3)に形成された適当な開口を介してシール可能な
一体的な本体を形成するように、O−リングのような真
空シールのためのシール溝407を有する。硬いニッケル
ワイヤー409が、この分野で知られているようなインタ
ーメタリックボンディングにより、前記セラミック本体
403にシールされており、通常の電力線411に、伝導熱に
より問題が生じないようなフイードスルーから充分に離
れた所で、例えば、半田付けにより接続されている。
前記フイードスルー301の真空側で、前記ニッケルワ
イヤー409は、セラミック本体403の開口415内で組み合
わされ、ヒータプレート303からのポスト(図3)を受
けるように適合されたソケット413に接続されている。
これらポストは,以下に詳述される。これらソケット41
3は、前記ワイヤー409により垂直方向で拘束されてお
り、適当な硬さを有する。前記開口415は、夫々ソケッ
ト413よりも少し大きい直径を有し、ソケット413が横方
向に自由に動くことを可能にしている(ワイヤー409は
横方向の動きに対しては僅かにしか抵抗しない)。この
ように、横方向の動きが自由なことにより、ポストを有
するヒータプレート(さらに以下に説明する)を閉塞プ
レートに組み合わせるときの少しの移動並びにミスアラ
イメントを許容している。
図4Cは、図4Aのフイードスルー301の上面図であり、
図4Bは、図4Aの断面から90度実質的に回転された、図4C
の切断線4C−4Cに沿うフイードスルー301の本体の集合
体の断面図である。断面図4Bは、円形開口419の下方
で、セラミック本体403に形成された溝417に沿ってい
る。この開口419には、ヒータプレート303の円形部分が
挿入されている。この円形部分からはコネクターポスト
が突出されている。また、前記溝417には、セラミック
絶縁バリアー309のバッフル延出部311が挿入されてい
る。このバリアーは、電気的フイードスルーの個々のポ
スト間の視線(line of sight)見通し線の電気的相
互作用を防ぐ機能を果たす。
図5A並びにBは、前記セラミック絶縁バリアー309の
上面図並びに側面図である。このバリアーは、両ソケッ
ト413の位置を囲むのに充分な大きさの直径を有する。
また、このバイアー309は、この実施の形態では円形開
口511,513を有する。これら開口は、ヒータプレート303
に設けられたポストが、後で詳述されるような方法で貫
通可能なように設定されている。さらに、このバリアー
309のバッフル延出部311は、セラミックバリアーの平坦
な本体に対して実質的に90度でバリアー309の全直径に
渡って、バリアー壁に沿って延出しており、また、ヒー
タプレートが説明された実施の形態の台座に組み合わさ
れたときに前記溝417と係合するように設定されてい
る。
図6Aは、図3に示すヒータプレート303の等角投影図
であり、図6Bは、同ヒータプレートの平面図であり、そ
して、図6Cは、側面図である。この実施の形態ではヒー
タプレート303は、内方領域603と、外方領域605とを有
するユニークな2領域ヒータである。これら領域は、ヒ
ータプレートを厚さ方向に貫通した2つの溝607.609に
より区分されている。また、内方領域603は、ヒータ上
のサセプターの上に載置されるウエハの直径に渡って当
接するように設定されており、また、外方領域605は、
ヒータプレートの残りの領域を実質的に占めている。こ
の実施の形態では、ヒータプレート303は、薄膜の加熱
部材を備えたセラミック集合体である。
2つの分離したコネクターポスト構造体611は、この
実施の形態ではヒータプレート303上に構成されてお
り、一方は内方領域603に機能し、他方は、外方領域605
に機能する。独立したパワーが与えられることの可能な
2つの分離した領域の提供は、2領域ヒータを覆うサセ
プター上のウエハを加熱するときのエッジ効果に対抗す
るように加熱分布をターンさせることを可能にしてい
る。かくして、ウエハを外周に至るまで均一に加熱する
ことができ、これは、従来技術のヒータに対して優れた
効果である。
図7A,B,Cは、主として図4A,B,Cを参照して前述したヒ
ータプレートとソケットとの集合体に関連して、本実施
の形態で使用されるユニークなコネクターポストを示
す。図7Aは、コネクターポストの等角投影図であり、図
7Bは、拡大端面図であり、そして、図7Cは、図7Bの切断
線7C−7Cに沿って切断された断面図である。
コネクターポスト701は、ねじ部703と、フランジ705
と、可撓性のフインガーポスト延出部707とを有する。
この実施の形態では、全長は、約1/2インチであり、ね
じ部とポスト延出部とは夫々約1/4インチであるが、他
の実施の形態では、これよりも大きいか小さいポストが
使用され得る。前記ねじ部のねじは、好ましくは、細か
いねじであるが、幾つかの異なるねじサイズが使用され
得る。
図7A,B,Cに示す好ましい実施の形態において、コネク
ターポスト701のポスト延出部707は、図示されたフィン
ガー709のような12本の等しい可撓性フィンガーに分け
られている。このコネクターポストは、幾つかの種類の
ステンレススチールの一つのような化学的に耐性のある
材料で代表的には形成され、フィンガーの適当なばねテ
ンションを与えるように、この分野で知られた方法で熱
処理される。
図6A,B,Cに示すように、各コネクターポスト構造体61
1は、2つのねじ穴が形成された起立部601を有する。前
記絶縁バリアー309は、各起立部上に位置されており、
また、コネクターポスト701は、フランジ705がヒータプ
レートに絶縁バリアーを捕獲かつ支持させるように、絶
縁バリアーの開口511,513を貫通しかつこれらにねじ留
めされている。この集合体は、図3を参照して明確に示
されている。前記ヒータプレート303は、ねじ孔がヒー
タプレートの対応する加熱部材に各ヒータプレートが適
合するのを可能とするようにデザインされている。上述
したユニークな構造を利用するようにヒータをデザイン
するであろう多くの異なる構造があることは、この技術
の分野の者にとって明らかであろう。
フイードスルー301のフイードスルー貫通部が、ヒー
タプレート303のコネクターポスト構造体611と一致する
ように要求されたパターンで閉塞プレート226に設けら
れている。ヒータプレートが閉塞プレートに組み合わさ
れる場合には、各コネクターポスト構造体の起立部601
は、円形開口419(図4A並びに4Bを参照)と係合する。
同時に、絶縁バッフル309のバッフル延出部311は溝417
と係合し、見通し線構造を形成しない。上述したよう
に、フイードスルー301のワイヤー409上のソケット413
は、ポストの可撓性フインガーと共に横方向の移動が可
能になっていることにより、容易で確実な係合が可能と
なっている。
ここで説明されている好ましい実施の形態において
は、単一のヒータプレートに形成された2つの分離した
加熱領域にパワー接続を与える2つのコネクターポスト
構造体が設けられている。また、この実施の形態におい
ては、図示していないが、プラズマ強化CVD(PECVD)プ
ロセスでのRFバイアスのための高周波接続を果たすため
に設けられた同様のソケット−ポスト構造を使用してい
る。しかし、これよりも多いか少ないコネクターポスト
構造体があり、また、2つのポスト−フイードスルーが
高周波バイアスのために使用され得ることは、この分野
の者にとって明らかであろう。
本発明の精神並びに範囲から逸脱しないで、ここで説
明された実施の形態でなされ得る多くの異なる詳細とデ
ザインとがあることは、この分野の者にとって明らかで
あろう。このような多くの変形は、すでに述べられてい
るが、他にも多くある。例えば、集積回路の製造におい
て現在使用されている多くのサイズのウエハがあり、ま
た、本発明の実施の形態に係わるプロセスステーション
は、個々のサイズのウエハもしくは一連のサイズのウエ
ハに適用できるように構成され得る。本発明に係わるス
テーションは、例えば、12インチもしくはそれ以上の径
のウエハに適用されるようにサイズが設定され得るが、
名目上8インチ径のウエハを適当、均一かつ効果的に加
熱するように、ヒータ構造に合わされる。
本発明の範囲の他の例として、詳述された駆動集合体
209は、本発明の種々の実施の形態での台座集合体を上
下させるための、効率が良く、円滑で、寿命の長い駆動
を提供する。現在の技術に対してユニークな発明的相違
を維持しながら、ある実施の形態に組み入れられる完全
に異なる駆動ではあるが、使用され得る駆動での多くの
変更がある。
さらなる例として、本発明の多くの部材でなされ得る
多くの材料、例えば、ヒータプレートやサセプターのた
めの材料の変更がある。なされ得る広範囲の変更の観点
で、本発明は、請求の範囲によってのみ制限される。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平5−226252(JP,A) 特開 平8−115972(JP,A) 特開 平9−186111(JP,A) (58)調査した分野(Int.Cl.7,DB名) C23C 16/44 H01L 21/205

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】第1の内径を有するほぼ円形の下縁を備え
    たプロセスチャンバ部分と、 このプロセスチャンバ部分の下に位置され、真空吐出ポ
    ートと、基板搬送ポートと、前記第1の内径よりも大き
    い、プロセスチャンバ部分と真空吐出ポートとの間の第
    2の内径とを有するベースチャンバ部分と、 前記第1の内径よりも小さい外径を有するほぼ円形の上
    方支持面を備え、そして、垂直方向の移動を可能にする
    ダイナミック真空シールにより、前記搬送ポートの下で
    ベースチャンバ部分に適合された基板支持台座と、 前記プロセスチャンバのほぼ円形の下縁と同一平面の処
    理位置、もしくは、前記吐出ポートの上方で搬送ポート
    の下方であるベースチャンバ部分内の下方搬送位置に、
    前記上方支持面を位置させるように基板支持台座を移動
    するように設けられた垂直移動駆動システムとを具備
    し、 前記基板支持台座が処理位置にあるときに、この基板支
    持台座の外径と、前記大きい第1の内径とは、真空吐出
    ポートを通るプロセスチャンバ部分からの第1の制限さ
    れた吐出速度を決定する第1の環状領域を有する第1の
    環状の吐出通路を形成するように設定され、また、前記
    基板支持台座が下方搬送位置にあるときに、この基板支
    持台座の外径と、前記大きい第2の内径とは、前記第1
    の制限された吐出速度よりも早い、プロセスチャンバか
    らの第2の吐出速度を可能にするように、前記第1の環
    状領域よりも大きい第2の環状領域を有する第2の環状
    吐出通路を形成するように設定されている、クラスター
    ツールのためのプロセスステーション。
  2. 【請求項2】前記第1の内径は、交換可能なリングによ
    り規定され、一定の外径と夫々異なる内径とを有する複
    数の交換可能なリングを夫々交換することにより、前記
    第1の吐出速度が早くなるように変更され得る請求項1
    のプロセスステーション。
  3. 【請求項3】ほぼ環状の上方支持面の所から始まる基板
    支持基台の部分を囲み、ほぼ環状の上方支持面の下方に
    延びた環状の覆いをさらに具備し、ほぼ環状の上方支持
    面の高さの所でのこの環状の覆いの径は、前記第1の内
    径とほぼ等しく、この結果、前記基板支持台座が処理位
    置にあるときに、環状の覆いは、この環状の覆いと基板
    支持台座との間で環状の覆いの中に流れてプロセスチャ
    ンバからの全てのガスの流れを拘束するように第1の内
    径と合うように設定されている請求項1のプロセスステ
    ーション。
  4. 【請求項4】前記プロセスチャンバの上方外縁を閉塞す
    る取り外し可能な蓋をさらに具備し、また、前記ダイナ
    ミックな真空シールは、前記蓋とこのダイナミックな真
    空シールとが取り外されて、プロセスチャンバ領域内か
    らこのプロセスチャンバ領域の上方へと基板支持台座が
    抜かれることを可能にするような、取り外し可能なシー
    ルである請求項1のプロセスステーション。
  5. 【請求項5】前記取り外し可能な蓋は、基板支持台座が
    処理位置にあるときに、この基板支持台座の上に支持さ
    れた基板の露出面全体に渡って均一にプロセスガスを供
    給するためのガス分布システムを有する請求項4のプロ
    セスステーション。
  6. 【請求項6】前記基板支持台座は、前記上方支持面と平
    行で、プロセスチャンバのための真空境界を規定する閉
    塞プレートと、この閉塞プレートとは断熱され、プロセ
    スチャンバ側に位置するヒータプレートと、このヒータ
    プレートの上方に、これとは離間して配置され、前記上
    方支持面を規定した、電気的に絶縁されたサセプターと
    を有する請求項1のプロセスステーション。
  7. 【請求項7】前記ヒータプレートは、別々に電力が供給
    される内方加熱領域と外方加熱領域とを有し、これら内
    方加熱領域と外方加熱領域とへの電力を制御することに
    より、ヒータプレート全体に渡っての温度プラファイル
    が制御され得る、ほぼ円形のヒータプレートである請求
    項6のプロセスステーション。
  8. 【請求項8】前記内方加熱領域は、ヒータプレートに形
    成された少なくとも1つの環状アーク溝により、前記外
    方加熱領域とは分離されている請求項7のプロセスステ
    ーション。
  9. 【請求項9】前記内方加熱領域は、ヒータプレートによ
    り加熱される基板の直径とほぼ等しい直径を有する請求
    項7のプロセスステーション。
  10. 【請求項10】前記ダイナミック真空シールは、ステン
    レススチール製のベローズである請求項1のプロセスス
    テーション。
JP53866898A 1997-03-03 1998-03-02 化学蒸着プロセスのための多目的プロセス室 Expired - Lifetime JP3217798B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/810,255 1997-03-03
US810,255 1997-03-03
US08/810,255 US5855675A (en) 1997-03-03 1997-03-03 Multipurpose processing chamber for chemical vapor deposition processes
PCT/US1998/004062 WO1998039495A1 (en) 1997-03-03 1998-03-02 Multipurpose processing chamber for chemical vapor deposition processes

Publications (2)

Publication Number Publication Date
JP2000510652A JP2000510652A (ja) 2000-08-15
JP3217798B2 true JP3217798B2 (ja) 2001-10-15

Family

ID=25203405

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53866898A Expired - Lifetime JP3217798B2 (ja) 1997-03-03 1998-03-02 化学蒸着プロセスのための多目的プロセス室

Country Status (5)

Country Link
US (1) US5855675A (ja)
EP (1) EP0972092B1 (ja)
JP (1) JP3217798B2 (ja)
DE (1) DE69830310T2 (ja)
WO (1) WO1998039495A1 (ja)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
US6144013A (en) * 1999-07-01 2000-11-07 International Business Machines Corporation Local humidity control system for low temperature electronic module
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6414276B1 (en) 2000-03-07 2002-07-02 Silicon Valley Group, Inc. Method for substrate thermal management
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
JP2002035572A (ja) * 2000-05-18 2002-02-05 Ulvac Japan Ltd 真空処理装置と多室型真空処理装置
EP1172842B1 (de) * 2000-06-22 2004-10-20 Unaxis Balzers Aktiengesellschaft Beschichtungsanlage für scheibenförmige Werkstücke
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6692324B2 (en) * 2000-08-29 2004-02-17 Ut-Battelle, Llc Single self-aligned carbon containing tips
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6547876B2 (en) 2001-02-07 2003-04-15 Emcore Corporation Apparatus for growing epitaxial layers on wafers by chemical vapor deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
JP2002343786A (ja) * 2001-05-21 2002-11-29 Tokyo Electron Ltd 半導体処理装置
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
CN102191483B (zh) * 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US6899545B2 (en) * 2003-10-16 2005-05-31 Special Hermetic Products, Inc. Coupling and method for producing a hermetic seal
EP1771598B1 (en) 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
JP4820137B2 (ja) * 2005-09-26 2011-11-24 株式会社日立国際電気 発熱体の保持構造体
KR101505497B1 (ko) 2005-11-22 2015-03-24 아익스트론 인코포레이티드 소용적의 대칭 흐름형 단일 웨이퍼 원자층 증착 장치
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20110070370A1 (en) 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
JP5426618B2 (ja) * 2011-07-19 2014-02-26 株式会社日立国際電気 絶縁構造体、加熱装置、基板処理装置および半導体装置の製造方法
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9879684B2 (en) * 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
US9435031B2 (en) 2014-01-07 2016-09-06 International Business Machines Corporation Microwave plasma and ultraviolet assisted deposition apparatus and method for material deposition using the same
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
JP2020147772A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 成膜装置及び成膜方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JPH06275528A (ja) * 1993-03-18 1994-09-30 Hitachi Ltd 真空処理装置の排気部構造
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置

Also Published As

Publication number Publication date
JP2000510652A (ja) 2000-08-15
EP0972092B1 (en) 2005-05-25
EP0972092A4 (en) 2002-04-10
WO1998039495A1 (en) 1998-09-11
DE69830310D1 (de) 2005-06-30
EP0972092A1 (en) 2000-01-19
DE69830310T2 (de) 2006-01-26
US5855675A (en) 1999-01-05

Similar Documents

Publication Publication Date Title
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
KR100446485B1 (ko) 원자 층 증착 공정을 위한 공정 스테이션
US5094885A (en) Differential pressure cvd chuck
US5366002A (en) Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US6921556B2 (en) Method of film deposition using single-wafer-processing type CVD
US6176198B1 (en) Apparatus and method for depositing low K dielectric materials
US6364954B2 (en) High temperature chemical vapor deposition chamber
US6375748B1 (en) Method and apparatus for preventing edge deposition
JP3178824B2 (ja) 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置
US6371712B1 (en) Support frame for substrates
US20030019428A1 (en) Chemical vapor deposition chamber
KR20150060086A (ko) 클러스터형 배치식 기판처리 시스템
US6797068B1 (en) Film forming unit
JP3258885B2 (ja) 成膜処理装置
EP0746874A1 (en) Chemical vapor deposition chamber
JP3253002B2 (ja) 処理装置
CN113169111A (zh) 具有改良的热耦合以用于热敏感处理的静电吸盘
WO2015195256A1 (en) One-piece injector assembly
TW202232648A (zh) 用於提供對稱射頻返回路徑的預裝載碗機構
CN112501587A (zh) 化学气相沉积设备、泵浦衬套及化学气相沉积方法
TW201833361A (zh) 薄膜封裝處理系統和處理套組
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
KR100297003B1 (ko) 박막증착장치
JPH062951B2 (ja) 気相反応装置
KR20220116018A (ko) 증착 및 웨이퍼 슬라이딩을 방지하기 위한 스핀들 암들 (spindle arms) 퍼징 (purge)

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070803

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090803

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130803

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350