JP2006209126A - フォトマスク製作に適したモリブデン層をエッチングするための方法 - Google Patents

フォトマスク製作に適したモリブデン層をエッチングするための方法 Download PDF

Info

Publication number
JP2006209126A
JP2006209126A JP2006016871A JP2006016871A JP2006209126A JP 2006209126 A JP2006209126 A JP 2006209126A JP 2006016871 A JP2006016871 A JP 2006016871A JP 2006016871 A JP2006016871 A JP 2006016871A JP 2006209126 A JP2006209126 A JP 2006209126A
Authority
JP
Japan
Prior art keywords
layer
molybdenum
etching
light blocking
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006016871A
Other languages
English (en)
Inventor
Madhavi Chandrachood
チャンドラチョード マドハヴィ
Ajay Kumar
クマール アジャイ
Wai-Fan Yau
ヤウ ワァイ−ファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006209126A publication Critical patent/JP2006209126A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/52Devices affording protection against insects, e.g. fly screens; Mesh windows for other purposes
    • E06B9/54Roller fly screens
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/60Spring drums operated only by closure members
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/78Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor for direct manual operation, e.g. by tassels, by handles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/52Devices affording protection against insects, e.g. fly screens; Mesh windows for other purposes
    • E06B9/54Roller fly screens
    • E06B2009/543Horizontally moving screens
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/80Safety measures against dropping or unauthorised opening; Braking or immobilising devices; Devices for limiting unrolling
    • E06B2009/801Locking arrangements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Civil Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Insects & Arthropods (AREA)
  • Pest Control & Pesticides (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【課題】フォトマスク製作に適したモリブデンエッチングプロセスの改良を行う。
【解決手段】フォトマスクを製作するための方法は、モリブデン層と光遮断層とを有するフィルムスタックをプロセスチャンバに提供するステップと、該光遮断層上に第1のレジスト層をパターニングするステップと、該第1のレジスト層をエッチングマスクとして使用して該光遮断層をエッチングするステップと、該パターニングされた光遮断層および該パターニングされた第1のレジスト層を複合マスクとして使用して該モリブデン層をエッチングするステップとを含む。
【選択図】図1A

Description

発明の背景
発明の分野
[0001]本発明の実施形態は概して、モリブデン層をプラズマエッチングするための方法、より具体的にはフォトマスク製作中にモリブデン層をエッチングするための方法に関する。
関連技術の説明
[0002]集積回路(IC)やチップの製造において、チップの異なる層を表すパターンがチップ設計者によって作成される。一連の再使用可能なマスクやフォトマスクは、製造プロセス中に半導体基板上に各チップ層の設計を移送するために、これらのパターンから作成される。マスクパターン発生システムは、それぞれのマスク上にチップの各層の設計をイメージングするために正確なレーザーや電子ビームを使用する。その後、マスクは、半導体基板上に各層ごとの回路パターンを移送するために写真のネガのように使用される。これらの層は一連のプロセスを使用して築き上げられ、各完成したチップを備える極小トランジスタおよび電気回路になる。したがって、マスクの欠陥がチップに移送されて、性能に潜在的に悪影響を及ぼすことがある。深刻な欠陥はマスクを全く役に立たないものとすることがある。一般的に、15〜30個のマスクがチップを構築するために使用され、反復して使用可能である。
[0003]マスクは一般的に、一方の側にクロムの層を有するガラスまたは石英の基板である。クロム層は反射防止コーティングおよび感光性レジストでカバーされる。パターニングプロセス中に、回路設計は、レジストの部分を紫外線に暴露することによってマスク上に書き込まれ、暴露された部分を現像溶液において可溶性とする。その後、レジストの可溶性部分は除去されて、パターニングされたものを形成する。このパターニングによって暴露された下地クロムはエッチングされるようになる。エッチングプロセスは、レジストが除去された、つまり暴露されたクロムが除去された場所で、マスクからクロムおよび反射防止層を除去する。
[0004]パターニングに利用された別のマスクが位相シフトマスクとして既知である。位相シフトマスクは、パターニングされたクロム層を介して暴露された石英領域の交互の隣接エリアが光減衰材料の層によってカバーされることを除いて、上記のマスクに類似している。光減衰材料の厚さは、製作中に基板に回路パターンを移送するために利用される光の波長の約半分に等しい。一実施形態において、光減衰材料の層は約50nm〜約100nmの厚さである。異なる厚さが利用されてもよいことが想定されている。減衰材料層は、化学気相堆積(CVD)技術によるなど、当業において既知の従来の方法によって堆積されてもよい。適切な光減衰材料の例は、ケイ化モリブデン、モリブデンシリコン(MoSi)、酸窒化モリブデンシリコン(MoSi)、これらの組み合わせ、あるいはこれを通過する光の位相をシフトするのに適した他の材料を含む。
[0005]光は位相シフトマスクを介して、回路製作中には基板上に配置されたレジストを暴露するように示されているため、マスクの1つの開口を介してレジストにぶつかる光は、直接隣接する開口をカバーする光減衰材料を通過する光に対して位相が180度ずれている。結果として、マスク開口のエッジで散乱されることがある光は、隣接する開口のエッジで散乱する位相のずれが180度の光で相殺され、レジストの事前画成された領域におけるよりタイトな光の分布をもたらす。よりタイトな光の分布は好都合なことに、より小さい限界寸法を有する部材の書き込みを容易にする。
[0006]ドライエッチング、反応性イオンエッチングまたはプラズマエッチングとして既知の一エッチングプロセスにおいて、プラズマが、化学反応を高めかつポリマーレジストを介してマスクのクロム層をパターニングするために使用される。ポリマーレジストがストリップされると、パターニングされたクロム層が光減衰材料をエッチングするためのマスクとして利用される。望ましくないことに、光減衰材料(例えばモリブデン)をエッチングするために使用される従来のプロセスはしばしば、光減衰材料をパターニングするのに利用されるクロム層における開口の側壁への攻撃によるエッチングバイアスを呈する。開口がクロムエッチングプロセス中に拡大されると、パターニングされたクロム層の限界寸法は光減衰材料に正確に移送されない。したがって、従来のモリブデンエッチングプロセスは、約5μm未満の限界寸法を有するマスクについて容認できる結果を生成できないことがある。これは、マスクのエッチング済み部材の不均一性につながり、相応して、マスクを使用して限界寸法の小さいデバイスの部材を生成する能力を低下させる。
[0007]マスクの限界寸法が縮小し続けると、エッチングの均一性の重要性は増す。したがって、フォトマスクの製作中に限界寸法を正確に維持する能力がかなり望まれる。
[0008]したがって、フォトマスク製作に適した改良されたモリブデンエッチングプロセスの必要性がある。
発明の概要
[0009]本発明は概して、フォトマスクを製作するための方法を提供する。一実施形態において、フォトマスクを製作するための方法は、モリブデン層と、光遮断層とパターニングされた第1のレジスト層とを有するフィルムスタックをプロセスチャンバに提供するステップと、該第1のレジスト層をエッチングマスクとして使用して該光遮断層をエッチングするステップと、該パターニングされた光遮断層および該パターニングされた第1のレジスト層を複合マスクとして使用して該モリブデン層をエッチングするステップとを含む。
[0010]別の実施形態において、フォトマスクを製作するための方法は、第1のフォトレジスト層をエッチングマスクとして使用してクロム層をエッチングするステップと、モリブデン層をエッチングして、該第1のフォトレジスト層およびクロム層によって画成された開口を介して下地石英材料を暴露するステップと、該モリブデン層またはクロム層のうちの少なくとも1つがエッチングされるプロセスチャンバのイン・シトゥーで該第1のフォトレジスト層を除去するステップと、該クロム層上に第2のフォトレジスト層をパターニングするステップと、該第2のフォトレジスト層をエッチングマスクとして使用して該クロム層をエッチングして、該モリブデン層を暴露するステップとを含む。
[0011]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより特定的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の一般的な実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めることができるため、したがってその範囲を制限するものとみなされるべきではないことに注目する。
[0016]理解を容易にするために、図面に共通の同一要素を指し示すために、可能な限り同一の参照番号が使用されている。一実施形態の特徴が、本明細書に明らかに説明されていないものも含む他の実施形態に有益に組み込まれてもよいことも想定されている。
詳細な説明
[0017]図1は、モリブデンをエッチングするのに適したプロセスチャンバ100の一実施形態の概略図を描いている。本明細書に開示された教示との併用に適合可能な適切なプロセスチャンバは、例えばDecoupled Plasma Source(DPS(登録商標))IIプロセスチャンバやTetraIおよびTetraIIフォトマスクエッチングシステムを含み、これらのすべてはカリフォルニア州、サンタクララにあるアプライドマテリアルズ社から入手可能である。本明細書に示されたプロセスチャンバ100の特定の実施形態は例示目的で提供されており、発明の範囲を制限するために使用されるべきではない。
[0018]プロセスチャンバ100は図1Aに図示されるような処理システム180の一部であってもよい。例えば、これもアプライドマテリアルズ社から入手可能なCentura(登録商標)集積半導体ウェーハ処理システムなどの処理システム180は、アッシングに適した第1のプロセスチャンバ192と、ポリマー堆積に適した第2のチャンバ194とを含んでもよい。適切なアッシングおよび堆積チャンバの例は、これもまたアプライドマテリアルズ社から入手可能なAXIOM HT(商標)およびTetraIIプロセスチャンバを含む。プロセスチャンバ100、プロセスチャンバ190、192、およびロードロックチャンバ198は、ロボット196を内部に配置している中央移送チャンバ194に結合される。ロボット196は、プロセスチャンバ100、プロセスチャンバ190、192とロードロックチャンバ198との間の基板の移送を容易にする。
[0019]図1を参照すると、プロセスチャンバ100は概して、導電体(壁)104内に基板ペデスタル124を有するプロセスチャンバ102と、コントローラ146とを備える。チャンバ102は略フラットな誘電体天井108を有する。チャンバ102の他の修正は他のタイプの天井、例えばドーム状天井を有してもよい。アンテナ110が天井108の上方に配置される。アンテナ110は、選択的にコントロールされてもよい1つ以上の誘電コイル要素を備える(2つの同軸要素110aおよび110bが図1に示される)。アンテナ110は第1のマッチングネットワーク114を介してプラズマ電源112に結合される。プラズマ電源112は一般的に、約50kHz〜約13.56MHzの範囲のチューナブル周波数で約3000ワット(W)まで生成することができる。一実施形態において、プラズマ電源112は約13.56MHzの周波数で約100〜約600Wの誘導結合RF電力を提供する。
[0020]基板ペデスタル(陰極)124が第2のマッチングネットワーク142を介してバイアス電源140に結合される。バイアスソース140は、約1〜約10kHzの範囲のチューナブルパルス周波数で約ゼロ〜約600Wを提供する。バイアスソース140はパルスRF電力出力を生成する。あるいはまた、バイアスソース140はパルスDC電力出力を生成してもよい。ソース140はまた一定のDCおよび/またはRF電力出力を提供してもよいことが想定されている。
[0021]一実施形態において、バイアスソース140は、約10〜約95パーセントのデューティサイクルによって、約1〜約10kHzの周波数で約600ワット未満のRF電力を提供するように構成される。別の実施形態において、バイアスソース140は、約80〜約95パーセントのデューティサイクルによって、約2〜約5kHzの周波数で約20〜約150ワットのRF電力を提供するように構成される。
[0022]DPS(登録商標)プロセスチャンバとして構成された一実施形態において、基板サポートペデスタル124は静電チャック160を含む。静電チャック160は少なくとも1つのクランピング電極132を備えており、またチャック電源166によってコントロールされる。代替実施形態において、基板ペデスタル124は、サセプタクランプリング、真空チャックおよび機械的チャックなどの基板保有機構を備えてもよい。
[0023]ガスパネル120がプロセスチャンバ102に結合されて、プロセスチャンバ102の内側にプロセスおよび/または他のガスを提供する。図1に描かれた実施形態において、ガスパネル120は、チャンバ102の側壁104におけるチャネル118に形成された1つ以上の入口116に結合される。1つ以上の入口116は他の場所、例えばプロセスチャンバ102の天井108に提供されてもよいことが想定されている。
[0024]一実施形態において、ガスパネル120は、入口116を介してプロセスチャンバ102の内側にフッ素化処理ガスを提供するように適合される。処理中に、プラズマが処理ガスから形成されて、プラズマ電源112からの電力の誘電結合によって維持される。プラズマはあるいはまた遠隔的に形成されても、他の方法によって着火されてもよい。一実施形態において、ガスパネル120から提供された処理ガスは、フッ素化ガス、塩素含有ガスおよび炭素含有ガスのうちの少なくとも1つを含む。
[0025]チャンバ102の圧力はスロットルバルブ162および真空ポンプ164を使用してコントロールされる。真空ポンプ164およびスロットルバルブ162は約1〜約20ミリトールの範囲のチャンバ圧力を維持することができる。
[0026]壁104の温度は、壁104を走る液体含有導管(図示せず)を使用してコントロールされてもよい。壁の温度は概して摂氏約65度に維持される。一般的に、チャンバ壁104は金属(例えばアルミニウム、ステンレス鋼など)から形成され、電気接地106に結合される。プロセスチャンバ102はまた、プロセスコントロール、内部診断、エンドポイント検出などのための従来のシステムを備える。このようなシステムは総称的にサポートシステム154として示される。
[0027]レチクルアダプター182が、基板サポートペデスタル124上に(レチクルや他のワークピースなどの)基板122を固定するために使用される。レチクルアダプター182は概して、ペデスタル124(例えば静電チャック160)の上部表面をカバーするようにミリングされた下部部分184と、基板122を保持するようにサイズ設定されかつ形作られた開口188を有する上部部分186とを含む。開口188は概して、ペデスタル124に対して実質的に中心にある。アダプター182は概して、ポリイミドセラミックや石英などの耐エッチング性かつ耐高温性の材料の単一片から形成される。適切なレチクルアダプターは2001年6月26日に発行された米国特許第6,251,217号に開示されており、参照として本明細書に組み込まれている。エッジリング126がアダプター182をペデスタル124に対してカバーおよび/または固定してもよい。
[0028]リフト機構138が、アダプター182、ゆえに基板122を基板サポートペデスタル124に対して低下させたり上昇させたりするために使用される。概して、リフト機構138は、それぞれのガイドホール136を通る複数のリフトピン(リフトピン130が1つ示される)を備える。
[0029]動作において、基板122の温度は基板ペデスタル124の温度を安定化させることによってコントロールされる。一実施形態において、基板サポートペデスタル124はヒーター144とオプショナルヒートシンク128とを備える。ヒーター144は、熱移送流体がこれを流れるように構成された1つ以上の流体導管であってもよい。別の実施形態において、ヒーター144は、ヒーター電源168によって調節される少なくとも1つの加熱要素134を含んでもよい。任意に、ガスソース156からのバックサイドガス(例えばヘリウム(He))がガス導管158を介して、基板122の下のペデスタル表面に形成されたチャネルに提供される。バックサイドガスは、ペデスタル124と基板122間の熱移送を容易にするために使用される。処理中に、ペデスタル124は、埋め込まれたヒーター144によって定常状態の温度に加熱されてもよく、これはヘリウムバックサイドガスと組み合わさって、基板122の均一な加熱を容易にする。
[0030]コントローラ146は中央演算処理装置(CPU)150と、メモリ148と、CPU150用のサポート回路152とを備えており、プロセスチャンバ102のコンポーネントおよび、以下に更に詳細に論じられるように、当然エッチングプロセスのコントロールを容易にする。コントローラ146は、種々のチャンバおよびサブプロセッサをコントロールするためのインダストリアル設定に使用可能な任意の形態の汎用コンピュータプロセッサの1つであってもよい。CPU150のメモリ148は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスクなどの容易に入手可能なメモリや、任意の形態のディジタル記憶装置、ローカルまたはリモート記憶装置のうちの1つ以上であってもよい。サポート回路152は、従来のやり方でプロセッサをサポートするためのCPU150に結合される。これらの回路はキャッシュ、電源、クロック回路、入力/出力回路およびサブシステムなどを含む。本発明の方法は概して、メモリ148や、ソフトウェアルーチンとしてCPU150にアクセス可能な他のコンピュータ読み取り可能な媒体に記憶される。あるいはまた、このようなソフトウェアルーチンはまた、CPU150によってコントロールされているハードウェアから遠くに配置されている第2のCPU(図示せず)によって記憶および/または実行されてもよい。
[0031]図2は、モリブデン層をエッチングするための方法200の一実施形態のフロー図である。モリブデン層は、モリブデン(Mo)でドープされた窒化シリコン(SiN)、ケイ化モリブデン、モリブデンシリコン(MoSi)、酸窒化モリブデンシリコン(MoSi)、これらの組み合わせ、あるいは他の適切な光減衰モリブデン含有材料であってもよい。方法200は図3A〜3Iにおいてフォトマスク340を製作するために利用されるフィルムスタック300の一実施形態の処理を参照して以下に説明されるが、方法200の有利な要素はまた、他のモリブデンエッチング用途において役立つように使用されてもよい。下付き文字「i」は図3A〜図3Iに示されたフィルムスタックの異なる製作段階を表す整数である。
[0032]方法200は、コントローラ146のメモリ148や他の記憶媒体にコンピュータ読み取り可能な形態で記憶されてもよく、基板122がサポートペデスタル124に置かれるとステップ202で始まる。一実施形態において、基板122はアダプター182の開口188に静止する。基板122は、図3Aに示されるようにフィルムスタック300を含む。フィルムスタック300は、石英(つまり二酸化シリコン(SiO))層302、不透明な光遮断層304およびモリブデン層330などの光学的に透明なシリコンベース材料を含む。不透明な光遮断層304は石英層302上に配置される。光遮断層304は一般的にクロムおよび/または酸化クロムから構成される。一実施形態において、光遮断層304は、合計厚さが約500オングストロームの、酸化クロムの薄層で被覆されたクロム層を含む。フィルムスタック300は光遮断層304上に形成された(仮想線で示される)オプショナル反射防止層306を含んでもよい。フィルムスタック300はまた、光遮断層304上に、または、もしあれば反射防止層306上に配置された第1のレジスト層308を含む。レジスト層308に適切な材料の例は、duPont de Nemours Chemical Companyによって製造された「RISTON」であり、約200〜600nmの厚さで、光遮断層304上に配置されてもよい。モリブデン層330が石英層302と光遮断層304との間に介在されて、フォトマスクの使用中に光の位相シフト用の光減衰層の役割をする。
[0033]モリブデン層330は概して、位相シフトマスクとの併用を意図された光の波長に対する、モリブデン層330を通る180度の位相シフトの長さ程度の厚さを有する。一般的な波長は193および248nmである。したがって、異なるリソグラフィック光波長との併用を意図されたマスクに他の厚さが利用されてもよいが、モリブデン層330は一般的に約50〜約100nmの厚さである。
[0034]ステップ204において、第1のレジスト層308は図3Bに描かれたフィルムスタック300に図示されたような光遮断層304上にパターニングされて、レジスト308を介して開口320を形成して光遮断層304の部分を暴露する。開口320は概して限界寸法(CD)を幅310として画成する。幅310は、以下に更に説明されるような仕上げフォトマスクを介して光の経路を画成する仕上げ開口に移送される事前画成されたCDを有するように選択される。第1のレジスト層308は任意の適切な方法によってパターニングされてもよい。
[0035]オプショナルステップ206において、コンフォーマルな保護層332が、図3Cに描かれたフィルムスタック300に図示されたようなパターニングされた第1のレジスト層308上に堆積される。保護層332はポリマーであってもよく、また後に説明されるエッチングプロセスのうちのいずれか1つが実行される処理システムおよび/またはチャンバのイン・シトゥーで(つまり同じ場所に)堆積されてもよい。保護層332は約100〜約500オングストロームの厚さに堆積されてもよく、また別の実施形態においては、約150〜約200オングストロームである。このような実施形態において、開口320の側壁上にコンフォーマルに堆積された材料の厚さが幅310をターゲット限界寸法(CD)310’まで減少するように、開口320の幅310は選択される。保護層332が使用されない実施形態において、幅310はターゲットCDである。
[0036]一実施形態において、保護層332は、過フッ化炭化水素処理ガス、例えばCHFおよび/またはCなどを使用して堆積される。任意に、処理ガスは、希釈および改良された堆積均一性のためにArを含んでもよい。一実施形態において、保護層332は約200〜約500Wのプラズマ電力および約0〜約20Wのバイアス電力を使用して堆積されてもよい。保護層332を形成するために利用される一例示的処理ガスは、約100sccmのCHFおよび約100sccmのArを使用してプラズマを形成するステップを含む。形成された保護層332は概して、水素を具備するカーボンポリマーであり、約500オングストロームの厚さまで堆積される。下地層の後のエッチングのためにパターニングされたレジスト層上に保護層を堆積させるための方法の一例が、2005年1月27日にM.CHANDRACHOODらによって出願された、METHOD FOR PHOTOMASK PLASMA ETCHING USING A PROTECTED MASKと題された米国特許出願第11/044,399号に説明されており、これはその全体を参照として組み込まれている。
[0037]ステップ208において、光遮断層304はパターニングされた第1のレジスト層308をエッチングマスクとして使用してエッチングされて、図3Dに描かれたフィルムスタック300に図示されるような光遮断層304における開口322を形成する。保護層332が利用される実施形態において、クロムエッチングステップ208は、パターニングされたレジストの開口320に配置されたオプショナル保護層の水平部分を除去して光遮断層304の部分を暴露するステップを含む。パターニングされたレジストの側壁上に配置された保護層332の垂直部分は、保護層の水平部分と比べて非常にゆっくりと除去されるため、パターニングされたレジストの側壁上に配置された保護層332は開口の限界寸法(CD)を実質的に保有しつつ光遮断層304はエッチングされて、これによってエッチングステップ208中にクロム層に形成された開口へのマスクCDの正確な移送を許容する。言い換えると、パターニングされた第1のレジスト層308の開口320の幅310’は光遮断層304の開口332に正確に移送される。光遮断層304をエッチングするステップは以下に説明されるように、モリブデン層330がエッチングされるプロセスチャンバ100において、あるいは処理システム180に結合された別のプロセスチャンバにおいて実行されてもよい。
[0038]一実施形態において、1つ以上のフッ素化処理ガスからプラズマを形成するエッチングステップ208はガス入口116を介してプロセスチャンバ102に導入される。例示的処理ガスはCFおよびCHFなどを含んでもよい。処理ガスは更に、He、Ar、Xe、NeおよびKrなどの不活性ガスを含んでもよい。
[0039]別の実施形態において、基板122の暴露された光遮断層304は、CFを2〜50立方センチメートル毎分(sccm)のレートで、かつCFHを10〜50sccmのレートで提供することによって、TetraI、TetraIIまたはDPS(登録商標)IIエッチングモジュールを使用してエッチングされる。1つの具体的なプロセスレシピは、CFを9sccmのレートで、かつCHFを26sccmのレートで提供する。プロセスチャンバの圧力は約40ミリトール未満、一実施形態では約1〜約10ミリトール、例えば2ミリトールにコントロールされる。
[0040]クロムエッチングステップ208中に、約600W未満の、第1の例においては約100W未満の、第2の例においては30〜約80Wの基板バイアス電力が、基板122をバイアスするためにサポートペデスタル124に印加される。1つの具体的なプロセスレシピは、約1〜約10kHzの範囲のチューナブルパルス周波数で約65Wのバイアス電力を印加する。
[0041]ステップ208中に、処理ガスから形成されたプラズマは、約300〜約600WのRF電力をプラズマ電源112からアンテナ110に印加することによって維持される。プラズマは多数の方法で着火されてもよいことが想定されている。一実施形態において、約250WのRF電力が約13.56MHzの周波数でアンテナ110に印加される。
[0042]基板122上で暴露された光遮断層304は、エンドポイントに達するまでエッチングされる。エンドポイントは時間、光干渉法、チャンバガス発光分光法によって、または他の適切な方法によって判断されてもよい。エッチングステップは、堆積ステップ206が実行されたツールまたはプロセスチャンバのイン・シトゥーで実行されてもよい。
[0043]別の例示的エッチングプロセスが2002年9月4日に出願された米国特許出願第10/235,223号に説明されており、これは参照として本明細書に組み込まれる。他の適切なクロムエッチングプロセスが利用されてもよいことが想定されている。
[0044]ステップ210において、パターニングされた第1のレジスト層308をその上に有するパターニングされた光遮断層304を、図3Eに描かれたフィルムスタック300に図示されたようなエッチングマスクとして使用して、モリブデン層330がエッチングされる。第1のレジスト層308(および、ある場合にはオプショナル層332)はモリブデンエッチングプロセス中に光遮断層304に形成された開口322の上部エッジを保護することによって、モリブデン層330に形成された開口324への限界寸法(例えば、開口322の幅310’)のより正確な移送を容易にすることができる。その上、パターニングされた第1のレジスト層308は非常に薄い酸化クロムコーティングを保護する。酸化クロム層がエッチング中に除去されると、以下に更に説明されるように、光遮断層の残りのクロムの高反射率が後のリソグラフィプロセスに悪影響を及ぼす。
[0045]モリブデン層330は、(i)1つ以上のフッ素含有重合材料と、(ii)塩素含有ガスと、任意に(iii)不活性ガスとを含む処理ガスによってプラズマエッチングされて、モリブデン層330に開口324を形成して、下地石英層302を暴露してもよい。重合制限または阻害ガスはまた処理ガスに含まれてもよい。
[0046]1つ以上のフッ素含有ガスは1つ以上のフッ素含有炭化水素、水素フリーフッ素含有ガス、またはこれらの組み合わせを含んでもよい。1つ以上のフッ素含有炭化水素は一般式Cを有してもよく、ここでxは炭素原子の1から5の整数であり、yは水素原子の1から8の整数であり、zはフッ素原子の1から8の整数である。フッ素含有炭化水素ガスの例はCHF、CHF、CH、CHF、Cおよびこれらの組み合わせを含む。CHFなどの、1〜2個の炭素原子と、1〜4個の水素原子と、1〜5個のフッ素原子とを有するフッ素含有炭化水素ガスが、モリブデン層330をエッチングする場合に使用されてもよい。
[0047]水素フリー過フッ化炭化水素ガスは1〜5個の炭素原子と4〜8個のフッ素原子とを有してもよい。水素フリー過フッ化炭化水素ガスの例はCF、C、C、C、C、Cおよびこれらの組み合わせを含む。任意に、処理ガスは追加エッチングガス、例えば六フッ化硫黄(SF)などのフッ化硫黄を含んでもよい。
[0048]フッ素含有ガスは、パターニングされたレジスト材料およびエッチングされた光学的に透明な材料に形成された開口の表面、特に側壁にパッシベーションポリマー堆積物を形成するために好都合に使用されてもよい。パッシベーションポリマー堆積物は部材画成の過度なエッチングを防止して、モリブデン層330への計画的な限界寸法の移送を改良する。1つ以上のフッ素含有炭化水素ガスから形成されたプラズマは、酸化ガスを有することなく基板122上でモリブデン層330をエッチングするフッ素含有種を生成する。
[0049]塩素含有ガスは塩素(Cl)、四塩化炭素(CCl)、塩酸(HCl)およびこれらの組み合わせの群から選択され、またかなり反応性のラジカルを供給して光学的に透明な材料をエッチングするために使用される。塩素含有ガスはエッチングラジカルのソースを提供し、水素または炭素含有塩素含有ガスはパッシベーションポリマー堆積物を形成するための材料のソースを提供してもよく、これはエッチングバイアスを改良することができる。
[0050]処理ガスはまた、処理ガスを備えるプラズマの一部としてイオン化される場合にスパッタリング種となり、部材画成のエッチングレートを大きくする不活性ガスを含んでもよい。プラズマの一部としての不活性ガスの存在はまた処理ガスの解離を高めることがある。加えて、処理ガスに添加された不活性ガスはイオン化されたスパッタリング種を形成し、新たにエッチングされた部材画成の側壁上の形成済みポリマー堆積物を更にスパッタリングしてもよく、これによってパッシベーション堆積物を減少させ、かつコントロール可能なエッチングレートを提供することができる。処理ガスに不活性ガスを含むことは、改良されたプラズマ安定性と改良されたエッチング均一性とを提供することが観察されている。不活性ガスの例はアルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)およびこれらの組み合わせを含んでおり、このうちアルゴンおよびヘリウムは概して使用される。
[0051]一例において、モリブデン層330をエッチングするための処理ガスは塩素(Cl)ガスと、トリフルオロメタン(CHF)とアルゴンとを不活性ガスとして含んでもよい。任意に、処理ガスは、酸素、オゾン、窒素またはこれらの組み合わせなどの1つ以上の重合制限ガスを含んでもよく、基板上のパッシベーションポリマー堆積物の形成および除去をコントロールすることによって処理ガスのエッチングレートをコントロールするために使用されてもよい。酸素含有ガスは、エッチングされた部材画成の表面にパッシベーション堆積物として堆積するポリマーの形成を減少させる他の種と反応する酸素フリー種の形成を高める。例えば、酸素ガスはCFなどのプラズマプロセスのラジカルの一部と反応して、COFなどの揮発性ラジカルを形成し、これはプロセスチャンバから排気される。
[0052]不活性ガスおよびオプショナルガスを含む処理ガスの全流量は、150mm×150mmの正方形のフォトリソグラフィックレチクル基板をエッチングチャンバでエッチングするために、約15sccmより大きな、例えば約15sccm〜約200sccmの流量で導入される。塩素含有ガスは、150mm×150mmの正方形のフォトリソグラフィックレチクル基板をエッチングするために約5sccm〜約100sccmの流量でプロセスチャンバに導入される。フッ素含有ガスがプロセスチャンバに導入されると、約1sccm〜約50sccmの流量が、150mm×150mmの正方形のフォトリソグラフィックレチクル基板をエッチングするために使用される。不活性ガスがプロセスチャンバに導入されると、約0sccm〜約100sccmの流量が、150mm×150mmの正方形のフォトリソグラフィックレチクル基板をエッチングするために使用される。任意に、重合制限ガスがプロセスチャンバに導入されると、約1sccm〜約100sccmの流量が、150mm×150mmの正方形のフォトリソグラフィックレチクル基板をエッチングするために使用される。処理ガスの個々のかつ全ガス流量は、プロセスチャンバのサイズ、処理中の基板のサイズおよびオペレータによって望まれる具体的なエッチングプロファイルなどの多数の処理要因に基づいて変化する。
[0053]概して、プロセスチャンバの圧力は約2ミリトール〜約50ミリトールに維持される。約3ミリトール〜約20ミリトール、例えば3ミリトール〜10ミリトールのチャンバ圧力がエッチングプロセス中に維持されてもよい。
[0054]ステップ212において、エッチングステップ210の後に残る第1のレジスト層308およびオプショナル保護層332は、図3Fに描かれたフィルムスタック300に図示されるように除去される。一実施形態において、残りのレジストおよび保護層はアッシングによって除去される。例えば、アッシングは図1Aのプロセスチャンバ192において(処理システム180のイン・シトゥーで)、あるいはエッチングステップ210が実行されたプロセスチャンバ100のイン・シトゥーで生じてもよい。
[0055]ステップ214において、第2のフォトレジスト層312が堆積、展開およびパターニングされて、図3Gに描かれたフィルムスタック300に図示されるように、開口326を形成して下地光遮断層304を暴露する。ステップ214はステップ204を参照して説明された上記のように実行されてもよい。一実施形態において、第2のフォトレジスト層312は約200nmの深さに堆積されるが、任意の厚さであってもよく、別の実施形態においては、第2のフォトレジスト層312は光遮断層304と少なくとも同じ厚さであってもよい。
[0056]ステップ216において、パターニングされた第2のフォトレジスト層312はマスクとして利用されて、図3Hに描かれたフィルムスタック300に図示されるように、光遮断層304の暴露部分をエッチングして、光遮断層304に形成された開口334を介して下地モリブデン層330を暴露する。ステップ216はステップ208およびオプショナルステップ206を参照して上記のように実行されてもよい。開口334は、(開口324を通過する光と比較して)これを通過する光の位相を修正する位相シフト部材を画成して、フォトリソグラフィックプロセスによって部材画成を形成する際の回折を減少させ、かつ解像度を改良する。
[0057]ステップ218において、第2のフォトレジスト層312は図3Iに描かれたフィルムスタック300に図示されたように除去されて、減衰位相シフトフォトリソグラフィックフォトマスク340を形成する。第2のフォトレジスト層312は上記ステップ212を参照して上記のように除去されてもよい。使用に際して、開口334によって画成されたフォトマスク340の領域を通過する光342は、開口324によって画成されたフォトマスク340の領域を通過する光344に対して位相が180度ずれた波長を有するであろう。
[0058]従来のエッチング方法に対するエッチング方法200の利点は、フォトマスク製作中にマスキング層間の部材幅のより正確な移送を含み、これによって仕上げフォトマスクのCDの良好なコントロールを許容する。特に、光遮断層をエッチングするために複合マスクを使用することはエッチングバイアスを好都合に最小限にし、製作中にフォトマスクの下部層へ限界寸法を正確に移送することにつながる。したがって、方法200の使用は、小さな限界寸法のコントロールおよび再生性が必要とされるエッチング用途についてかなり望ましい。
[0059]上記は本発明の実施形態を目的としているが、発明の他のさらなる実施形態がその基本的範囲から逸脱することなく考案されてもよく、またその範囲は以下の請求項によって判断される。
モリブデン層をエッチングするのに適したプロセスチャンバの一実施形態の概略断面図である。 図1のプロセスチャンバを含む処理システムの一実施形態の平面断面図である。 モリブデン層をエッチングするための方法の一実施形態のフロー図である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。 本発明のモリブデン層エッチング方法の一実施形態を利用して製作された石英位相シフトフォトマスクの一実施形態である。
符号の説明
100…チャンバ、102…プロセスチャンバ、104…壁、106…電気接地、108…天井、110…アンテナ、112…プラズマ電源、114…第1のマッチングネットワーク、116…入口、118…チャネル、120…ガスパネル、122…基板、124…基板ペデスタル、126…エッジリング、128…ヒートシンク、130…リフトピン、132…クランピング電極、134…加熱要素、136…ガイドホール、138…リフト機構、140…バイアス電源、142…第2のマッチングネットワーク、144…ヒーター、146…コントローラ、148…メモリ、150…CPU、152…サポート回路、154サポートシステム、156…ガスソース、158…ガス導管、160…静電チャック、162…スロットルバルブ、164…真空ポンプ、166…チャック電源、168…ヒーター電源、180…処理システム、184…下部部分、186…上部部分、188…開口、190…第1のプロセスチャンバ、192…第2のプロセスチャンバ、194…移送チャンバ、196…ロボット、198…ロードロックチャンバ、200…方法、202…置くステップ、204…レジストパターニングステップ、206…オプショナル堆積ステップ、208…光遮断層エッチングステップ、210…モリブデン層エッチングステップ、212…レジスト除去ステップ、214…レジストパターニングステップ、216…光遮断層エッチングステップ、218…レジスト除去ステップ、300…フィルムスタック、302…石英層、304光遮断層、306…反射防止層、308…第1のレジスト層、310…幅、312…第2のフォトレジスト層、320…開口、322…開口、324…開口、326…開口、330…モリブデン層、332…保護層、340…フォトマスク。

Claims (20)

  1. フォトマスクを製作するための方法であって、
    フィルムスタックをプロセスチャンバに提供するステップであって、前記フィルムスタックがモリブデン層と、光遮断層とパターニングされた第1のレジスト層とを有するステップと、
    前記第1のレジスト層をエッチングマスクとして使用して前記光遮断層をエッチングするステップであって、前記パターニングされた光遮断層およびパターニングされた第1のレジスト層が複合マスクを形成するステップと、
    前記複合マスクをエッチングマスクとして使用して前記モリブデン層をエッチングするステップと、
    を備える方法。
  2. 前記光遮断層がクロムまたは酸化クロムのうちの少なくとも1つを備える、請求項1に記載の方法。
  3. 前記モリブデン層が、モリブデン、モリブデン(Mo)でドープされた窒化シリコン(SiN)、またはモリブデンシリコン(MoSi)のうちの少なくとも1つを備える、請求項1に記載の方法。
  4. 前記モリブデン層をエッチングするステップが、
    フッ素含有ガスおよび塩素含有ガスを前記プロセスチャンバに流してガス混合物を形成する工程と、
    前記ガス混合物からプラズマを形成する工程と、
    を備える、請求項1に記載の方法。
  5. 前記モリブデン層をエッチングするステップが更に、
    不活性ガスを前記プロセスチャンバに流す工程を備える、請求項4に記載の方法。
  6. 前記フッ素含有ガスを流すステップが更に、
    一般式C(xが炭素原子の1〜5の整数であり、yが水素原子の1〜8の整数であり、zがフッ素原子の1〜8の整数である)を有する少なくとも1つのフッ素含有炭化水素ガスを流す工程を備える、請求項4に記載の方法。
  7. フッ素含有ガスを流すステップが更に、
    1〜5個の炭素原子および4〜8個のフッ素原子を有する少なくとも1つの水素フリー過フッ化炭化水素ガスを流す工程を備える、請求項4に記載の方法。
  8. 前記塩素含有ガスを流すステップが更に、
    塩素(Cl)と、四塩化炭素(CCl)または塩酸(HCl)のうちの少なくとも1つとを前記プロセスチャンバに流す工程を備える、請求項4に記載の方法。
  9. 前記モリブデン層をエッチングするステップが、
    塩素(Cl)ガスと、トリフルオロメタン(CHF)とアルゴンとを前記プロセスチャンバに流してガス混合物を形成する工程と、
    前記ガス混合物からプラズマを形成する工程と、
    を備える、請求項1に記載の方法。
  10. 前記複合マスクの少なくとも一部を除去するステップを更に備える、請求項1に記載の方法。
  11. 前記光遮断層をパターニングして前記モリブデン層を暴露するステップを更に備える、請求項10に記載の方法。
  12. 前記光遮断層をパターニングするステップが更に、
    第2のレジスト層を前記光遮断層上にパターニングする工程であって、前記モリブデン層にエッチングされた少なくとも1つの開口が、パターニング後に前記第2のレジスト層によって充填されたままである工程を備える、請求項11に記載の方法。
  13. 前記モリブデン層をエッチングするステップが、下地の光学的に透明なシリコンベース材料を暴露する、請求項1に記載の方法。
  14. 前記光学的に透明なシリコンベース材料が石英である、請求項1に記載の方法。
  15. 前記光遮断層をエッチングする前に前記第1のレジスト層上に保護層を堆積させるステップを更に備える、請求項1に記載の方法。
  16. フォトマスクを形成するための方法であって、
    フィルムスタックをプロセスチャンバに提供するステップであって、前記フィルムスタックが、モリブデン層を有する光学的に透明なシリコンベース材料と、光遮断層と、第1のフォトレジスト層を有する複合マスクとを有するステップと、
    前記モリブデン層をプラズマエッチングして、前記複合マスクを使用して前記光学的に透明なシリコンベース材料を暴露する第1の開口を形成するステップと、
    第2のフォトレジスト層を前記光遮断層上に堆積させるステップと、
    前記第2のフォトレジスト層を光遮断層上にパターニングするステップであって、前記第2のフォトレジスト層がパターニング後に前記第1の開口を充填するステップと、
    前記第2のフォトレジスト層をエッチングマスクとして使用して前記光遮断層をプラズマエッチングして、前記モリブデン層を暴露する第2の開口を形成するステップと、
    を備える方法。
  17. 前記モリブデン層をパターニングするステップが更に、
    前記光遮断層をエッチングする前に前記複合物の前記第1のレジスト層上にコンフォーマルなポリマー層を堆積させる工程を備える、請求項16に記載の方法。
  18. 前記モリブデン層が、モリブデン、モリブデン(Mo)でドープされた窒化シリコン(SiN)またはモリブデンシリコン(MoSi)のうちの少なくとも1つを備えており、前記光遮断層がクロムを備えており、前記光学的に透明なシリコンベース材料が石英またはガラスを備えている、請求項16に記載の方法。
  19. 前記モリブデン層をパターニングした後かつ前記第2のフォトレジスト層を堆積させる前に前記第1のフォトレジスト層を除去するステップを更に備える、請求項16に記載の方法。
  20. フォトマスクを形成するための方法であって、
    クロム層と、モリブデン層と、パターニングされた第1のフォトレジスト層と石英材料層とを有するフィルムスタックを提供するステップと、
    前記パターニングされた第1のフォトレジスト層をエッチングマスクとして使用して前記クロム層をエッチングするステップと、
    前記モリブデン層をエッチングして、前記第1のフォトレジスト層および前記クロム層によって画成された開口を介して前記下地石英材料を暴露するステップと、
    モリブデン層またはクロム層のうちの少なくとも1つがエッチングされるプロセスチャンバのイン・シトゥーで前記第1のフォトレジスト層を除去するステップと、
    第2のフォトレジスト層を前記クロム層上に堆積させるステップと、
    前記第2のフォトレジスト層をパターニングするステップと、
    前記第2のフォトレジスト層をエッチングマスクとして使用して前記クロム層をエッチングして、前記モリブデン層を暴露するステップと、
    を備える方法。
JP2006016871A 2005-01-27 2006-01-25 フォトマスク製作に適したモリブデン層をエッチングするための方法 Pending JP2006209126A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/044,358 US8293430B2 (en) 2005-01-27 2005-01-27 Method for etching a molybdenum layer suitable for photomask fabrication

Publications (1)

Publication Number Publication Date
JP2006209126A true JP2006209126A (ja) 2006-08-10

Family

ID=36130055

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006016871A Pending JP2006209126A (ja) 2005-01-27 2006-01-25 フォトマスク製作に適したモリブデン層をエッチングするための方法

Country Status (5)

Country Link
US (2) US8293430B2 (ja)
EP (1) EP1686420A3 (ja)
JP (1) JP2006209126A (ja)
KR (1) KR100822294B1 (ja)
TW (1) TWI338332B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
TWI453529B (zh) * 2008-04-02 2014-09-21 Hoya Corp 相偏移光罩基底及相偏移光罩之製造方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100615583B1 (ko) * 2004-08-11 2006-08-25 삼성전자주식회사 노드 절연막 패턴에 구속된 상전이막 패턴을 갖는 피이.램의 형성방법들
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
CN101501568B (zh) * 2006-08-11 2013-07-10 奥立孔美国公司 最小化cd蚀刻偏差的方法
KR101294271B1 (ko) * 2006-08-30 2013-08-08 주식회사 에스앤에스텍 대면적 투과 제어 블랭크 마스크 및 이를 이용한 대면적 투과 제어 포토마스크의 제조방법
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
KR20080033589A (ko) * 2006-10-12 2008-04-17 삼성전자주식회사 금속 배선의 제조 방법 및 표시 기판의 제조 방법
US7871742B2 (en) * 2007-04-05 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for controlling phase angle of a mask by post-treatment
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
KR100955681B1 (ko) * 2008-04-14 2010-05-06 주식회사 하이닉스반도체 자기조립분자를 이용한 포토마스크의 제조방법
KR101033354B1 (ko) * 2008-10-23 2011-05-09 주식회사 동부하이텍 반도체 소자의 미세패턴 형성방법
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
CA2930836A1 (en) * 2013-11-17 2015-05-21 Quantum-Si Incorporated Active-source-pixel, integrated device for rapid analysis of biological and chemical specimens
US9660603B2 (en) * 2015-04-09 2017-05-23 Texas Instruments Incorporated Sloped termination in molybdenum layers and method of fabricating
KR20230117764A (ko) * 2017-01-05 2023-08-09 매직 립, 인코포레이티드 플라즈마 에칭에 의한 고굴절률 유리들의 패터닝
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
CN113169116A (zh) * 2018-11-30 2021-07-23 应用材料公司 图案化金属层的方法
KR20240100436A (ko) * 2021-11-16 2024-07-01 램 리써치 코포레이션 유기 클로라이드를 사용한 실리콘 에칭

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59154A (ja) * 1982-05-26 1984-01-05 エヌ・ベ−・フイリツプス・フル−イランペンフアブリケン プラズマエツチングに耐性を有するレジストマスクを形成する方法
JPH104084A (ja) * 1996-06-18 1998-01-06 Sony Corp 金属系膜のエッチング方法
JP2000267255A (ja) * 1999-03-19 2000-09-29 Sharp Corp 位相シフトマスク及びその製造方法
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
JP2003248292A (ja) * 2002-02-22 2003-09-05 Hoya Corp 位相シフトマスクブランク及びその製造方法、並びに積層体
JP2004038154A (ja) * 2002-05-14 2004-02-05 Applied Materials Inc フォトリソグラフィレチクルをエッチングする方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357195A (en) 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4310380A (en) 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
EP0054736B1 (en) 1980-12-22 1985-05-22 Dai Nippon Insatsu Kabushiki Kaisha Photomask and photomask blank
JPS59162276A (ja) 1983-03-07 1984-09-13 Toshiba Corp 反応性イオンエツチング方法
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
US4484978A (en) 1983-09-23 1984-11-27 Fairchild Camera & Instrument Corp. Etching method
JPS6077429A (ja) 1983-10-04 1985-05-02 Asahi Glass Co Ltd ドライエツチング方法
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4741799A (en) 1985-05-06 1988-05-03 International Business Machines Corporation Anisotropic silicon etching in fluorinated plasma
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4666555A (en) 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
US4726879A (en) 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US5643473A (en) 1987-07-31 1997-07-01 Hitachi, Ltd. Dry etching method
DE3733135C1 (de) 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US5316616A (en) 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
EP0489407A3 (en) 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
JP3024317B2 (ja) 1991-10-25 2000-03-21 日本電気株式会社 半導体装置の製造方法
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials, Inc., Santa Clara, Calif. Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
JP2988122B2 (ja) 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5352324A (en) 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
US5486706A (en) 1993-05-26 1996-01-23 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5691246A (en) 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5433823A (en) 1993-09-30 1995-07-18 Cain; John L. Selective dry-etching of bi-layer passivation films
JP3453435B2 (ja) 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
EP0704886A1 (en) 1994-09-29 1996-04-03 Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno - CoRiMMe Process for etching cobalt silicide layers
EP0706070A3 (de) 1994-10-04 1997-04-02 Siemens Ag Verfahren zum Trockenätzen eines Halbleitersubstrats
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
EP0729175A1 (en) 1995-02-24 1996-08-28 International Business Machines Corporation Method for producing deep vertical structures in silicon substrates
US5614060A (en) 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
JPH11504028A (ja) * 1995-04-24 1999-04-06 イースム リサーチ ディベロップメント カンパニー オブ ザ ヒーブル ユニバーシティ オブ エルサレム 油/水エマルジョンを作り出す自己乳化性配合物
US6693310B1 (en) 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5759921A (en) 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
FR2739494B1 (fr) 1995-09-29 1997-11-14 Suisse Electronique Microtech Procede de fabrication de pieces de micromecanique ayant une partie en diamant constituee au moins d'une pointe, et pieces de micromecanique comportant au moins une pointe en diamant
US5854136A (en) 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5880033A (en) 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5965463A (en) 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US5933729A (en) 1997-12-08 1999-08-03 Advanced Micro Devices, Inc. Reduction of ONO fence during self-aligned etch to eliminate poly stringers
US6025271A (en) 1997-12-08 2000-02-15 Micron Technology, Inc. Method of removing surface defects or other recesses during the formation of a semiconductor device
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP3262529B2 (ja) 1997-12-19 2002-03-04 ホーヤ株式会社 位相シフトマスク及び位相シフトマスクブランク
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
US6312616B1 (en) 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
KR100311234B1 (ko) 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6682861B2 (en) 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
US6221784B1 (en) 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6403267B1 (en) 2000-01-21 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for high transmittance attenuated phase-shifting mask fabrication
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP4686006B2 (ja) 2000-04-27 2011-05-18 大日本印刷株式会社 ハーフトーン位相シフトフォトマスクとハーフトーン位相シフトフォトマスク用ブランクス、及びハーフトーン位相シフトフォトマスクの製造方法
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
KR20020009410A (ko) 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
DE10100822C2 (de) 2001-01-10 2003-04-10 Infineon Technologies Ag Plasmaätzverfahren für MoSi(ON)-Schichten
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
KR20030071194A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 이유브이 노광 공정용 위상반전마스크 및 그 제조방법
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040086787A1 (en) 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59154A (ja) * 1982-05-26 1984-01-05 エヌ・ベ−・フイリツプス・フル−イランペンフアブリケン プラズマエツチングに耐性を有するレジストマスクを形成する方法
JPH104084A (ja) * 1996-06-18 1998-01-06 Sony Corp 金属系膜のエッチング方法
JP2000267255A (ja) * 1999-03-19 2000-09-29 Sharp Corp 位相シフトマスク及びその製造方法
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
JP2003248292A (ja) * 2002-02-22 2003-09-05 Hoya Corp 位相シフトマスクブランク及びその製造方法、並びに積層体
JP2004038154A (ja) * 2002-05-14 2004-02-05 Applied Materials Inc フォトリソグラフィレチクルをエッチングする方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
TWI453529B (zh) * 2008-04-02 2014-09-21 Hoya Corp 相偏移光罩基底及相偏移光罩之製造方法

Also Published As

Publication number Publication date
KR100822294B1 (ko) 2008-04-16
TW200636865A (en) 2006-10-16
US20130040231A1 (en) 2013-02-14
TWI338332B (en) 2011-03-01
US8293430B2 (en) 2012-10-23
EP1686420A2 (en) 2006-08-02
US20060166108A1 (en) 2006-07-27
EP1686420A3 (en) 2008-06-04
KR20060086864A (ko) 2006-08-01

Similar Documents

Publication Publication Date Title
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
JP2006215552A5 (ja)
JP5449642B2 (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
US7879510B2 (en) Method for quartz photomask plasma etching
JP2007041599A (ja) フォトマスク製造におけるプロセス集積のためのクラスターツールおよび方法
US7635546B2 (en) Phase shifting photomask and a method of fabricating thereof
KR100988112B1 (ko) 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100205

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100304

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100706

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110311

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110726