JP2005203627A - 処理装置 - Google Patents

処理装置 Download PDF

Info

Publication number
JP2005203627A
JP2005203627A JP2004009505A JP2004009505A JP2005203627A JP 2005203627 A JP2005203627 A JP 2005203627A JP 2004009505 A JP2004009505 A JP 2004009505A JP 2004009505 A JP2004009505 A JP 2004009505A JP 2005203627 A JP2005203627 A JP 2005203627A
Authority
JP
Japan
Prior art keywords
gas
shower head
processing
diffusion chamber
mounting frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004009505A
Other languages
English (en)
Other versions
JP4513329B2 (ja
Inventor
Manabu Amikura
学 網倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004009505A priority Critical patent/JP4513329B2/ja
Priority to KR1020067015363A priority patent/KR100758744B1/ko
Priority to US10/586,050 priority patent/US7651584B2/en
Priority to PCT/JP2005/000395 priority patent/WO2005069360A1/ja
Priority to CNB2005800025277A priority patent/CN100499033C/zh
Publication of JP2005203627A publication Critical patent/JP2005203627A/ja
Application granted granted Critical
Publication of JP4513329B2 publication Critical patent/JP4513329B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 シャワーヘッド構造のガス噴射面の温度制御性を向上させてこれを効率的に冷却することが可能な処理装置を提供する。
【解決手段】 処理容器24内へ処理ガスを導入するシャワーヘッド構造26とを有する処理装置において、シャワーヘッド構造は、処理容器に展開可能に取り付けられてヘッド取付開口が形成されると共に周辺部に冷却機構取付孔が形成されたヘッド取付枠体76と、ガス噴射口を有して容器状に形成されると共に側壁の上端面が冷却機構取付孔を介して大気側へ露出するようにヘッド取付枠体に、ヘッド取付枠体の下面側方向へ挿脱可能に取り付け固定されるシャワーヘッド本体78と、シャワーヘッド本体をヘッド取付枠体側へ固定する締付ボルト80と、ガス拡散室を形成する拡散室区画板82A〜82Cとガス拡散室内へ処理ガスを導入するガス導入口83A、83Bと、シャワーヘッド本体の側壁の大気側へ露出する上端面に取り付けられる冷却機構84とよりなる。
【選択図】 図1

Description

本発明は、半導体ウエハ等に対して薄膜を堆積させる熱処理等を施すための処理装置に関する。
一般に、半導体集積回路を製造するには、半導体ウエハ等の被処理体に、成膜処理、エッチング処理、熱処理、改質処理、結晶化処理等の各種の枚葉処理を繰り返し行なって、所望する集積回路を形成するようになっている。上記したような各種の処理を行なう場合には、その処理の種類に対応して必要な処理ガスを処理容器内へ導入する。ここで処理装置として成膜装置を例にとって説明すると、真空引き可能になされた処理容器の天井部に、シャワーヘッド構造を設け、このシャワーヘッド構造のガス噴射口から処理容器内に向けて原料ガスや他の支援ガス、例えば酸化ガスや還元ガス等を供給し、そして、加熱された半導体ウエハ等の表面に、例えばCVD等によって薄膜を堆積させるようになっている(特許文献1)。
この場合、蒸気圧が比較的低くて活性化エネルギーが高いような原料ガスを用いる場合には、原料ガスの搬送途中で支援ガス(例えば酸化ガス)を混合すると成膜反応が生じてしまうので、これを防止するために原料ガスがシャワーヘッド構造より処理容器内へ噴射された時に初めて支援ガスと接触するような噴射方式を採用している。このような噴射方式を、いわゆるポストミックス方式とも称す。
図7は上記したような従来の成膜装置の一例を示す概略構成図である。図示するように、この成膜装置2は筒体状に成形された処理容器4を有しており、この処理容器4内は真空引き可能になされている。この処理容器4内には、被処理体として例えば半導体ウエハWを載置する載置台6が起立させて設けられており、この載置台6内には加熱手段8が埋め込まれている。またこの処理容器4の天井部には、この内部に処理ガスとして例えば成膜ガスを導入するためのシャワーヘッド構造10が設けられている。このシャワーヘッド構造10は、複数枚のヘッド板10A〜10Dを積層して、例えばボルト12により締め付け固定されている。尚、図中では一部のボルトのみ示す。
上記各ヘッド板10A〜10Dの表面には、必要に応じて複数の凹部やこれらの凹部を連絡するガス流路が予め形成されており、上記ヘッド板10A〜10Dを組み付けた時に、分離区画された複数のガス拡散室14A、14B、14Cを形成する。そして、最下部のヘッド板10Aはガス噴射板として構成され、このヘッド板10Aには、多数のガス噴射口16が形成されている。図示例では、上記2つのガス拡散室14A、14Cが連通される。
そして、これらのガス噴射孔16は2つの群のガス噴射孔16A、16Bに分かれており、一方の群のガス噴射孔16Bはガス拡散室14Bに連通されてこれより例えば原料ガスを噴射するようになっており、他方の群のガス噴射孔16Aはガス拡散室14Aに連通されてこれより例えばO 等の酸化ガスを噴射するようになっている。また、このシャワーヘッド構造10の上面側の周辺部には、冷却ジャケット等の冷却機構18が取り付けられており、これにより冷熱の熱伝導によって最下部のヘッド板10Aを所定の温度に冷却して、熱分解し易い原料ガスがガス噴射面の近傍で分解してこのガス噴射面にパーティクル等が付着することを防止するようになっている。
このシャワーヘッド構造10により、原料ガスと酸化ガスとはこのシャワーヘッド構造10内で混合されることなく分離された状態で流れ、それぞれのガス噴射孔10A、10Bから別々に処理空間Sに噴射されてこの処理空間Sで初めて混合され、ウエハW上に薄膜を形成することになる。
特開平10−321613号公報
ところで、上述したようなポストミックス方式で原料ガスや酸化ガスを処理容器内へ供給する場合、シャワーヘッド構造内では各ガスは区画されて混合することのないように別々の流路を介して流れるので、シャワーヘッド構造内にパーティクル等の原因となる不要な膜が堆積することは防止され、主としてウエハ表面のみに必要な薄膜を堆積させることができる。
しかしながら、ガス噴射孔16Bより噴射された原料ガスが直ちに分解されてガス噴射面に不要な膜が付着しないように、冷却機構18が設けられているが、このシャワーヘッド構造10は平らな複数枚のヘッド板10A〜10Cを積層してボルト12で締め付け固定した構造であり、しかも処理容器4内は比較的低圧状態に維持されていることから、上記ヘッド板10A〜10C間の熱伝導性はそれ程高くはなく、従って、ガス噴射面の温度制御性は良好ではないので、これを十分には冷却できずに不要な膜が付着する、といった問題があった。
また、ウエハに対して繰り返し成膜処理を行う過程で、処理空間と接するガス噴射面に原料ガスを噴射するガス噴射口を中心として不要な薄膜が直径数mm〜数cmの大きさで堆積する場合があった。このようなガス噴射面に付着した不要な薄膜は放置しておくと剥がれ落ちてパーティクルの原因となるので、定期的に、或いは必要に応じて上記不要な薄膜を除去するクリーニング処理を高い頻度で行わなければならなかった。この場合、シャワーヘッド構造を成膜装置本体から分解して取り外さなければならないが、図示例の装置例にあっては、ボルト12により複数枚のヘッド板10A〜10Cを一体的に結合した構造なので、クリーニング洗浄のために最下段のヘッド板10Aを取り外すと、シャワーヘッド構造10の略全体が分解されることになるので、メンテナンス作業が非常に複雑化し、且つメンテナンス作業時間も長くなる、といった問題があった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、シャワーヘッド構造のガス噴射面の温度制御性を向上させてこれを効率的に冷却することが可能な処理装置を提供することにある。
本発明の他の目的は、シャワーヘッド構造のガス噴射面だけを分解できるようにすることにより、このクリーニング処理等のメンテナンス作業を迅速に短時間で、且つ簡単に行うことができるようにした処理装置を提供することにある。
請求項1に係る発明は、天井部が開口されて排気可能になされた筒体状の処理容器と、処理されるべき被処理体を載置するために前記処理容器内に設けられた載置台と、前記処理容器の天井側に設けられて前記処理容器内へ処理ガスを導入するシャワーヘッド構造とを有する処理装置において、前記シャワーヘッド構造は、前記処理容器の天井部に展開可能に取り付けられた中央部にヘッド取付開口が形成されると共に周辺部に冷却機構取付孔が形成されてヘッド取付枠体と、底板に複数のガス噴射口を有してその周辺部の側壁が上方へ起立されて容器状に形成されると共に前記側壁の上端面が前記冷却機構取付孔を介して大気側へ露出するように前記ヘッド取付枠体に、前記ヘッド取付枠体の下面側方向へ挿脱可能に取り付け固定されるシャワーヘッド本体と、前記シャワーヘッド本体を前記底板側から螺合して前記ヘッド取付枠体側へ固定する締付ボルトと、前記シャワーヘッド本体内に収容されて前記ヘッド取付枠体側に固定されると共に前記ガス噴射口に連通されたガス拡散室を形成するための拡散室区画板と、前記拡散室区画板に設けられて前記ガス拡散室内へ前記処理ガスを導入するためのガス導入口と、前記シャワーヘッド本体の側壁の大気側へ露出する上端面に取り付けられる冷却機構とよりなることを特徴とする処理装置である。
このように、シャワーヘッド構造のガス噴射口を有するシャワーヘッド本体の一部を大気側へ露出させるようにして、この露出面に冷却機構を取り付けるようにしたので、冷却時の熱伝導性が向上して温度制御性が改善されてガス噴射面を効率的に冷却でき、この結果、このガス噴射面の部分にパーティクルの原因となる不要な膜(堆積膜)が付着することを防止することができる。
またガス噴射口の有するシャワーヘッド本体だけを取り外して分解可能としたので、クリーニング処理等のメンテナンス作業を迅速に短時間で且つ、簡単に行うことができる。
請求項2に係る発明は、天井部が開口されて排気可能になされた筒体状の処理容器と、処理されるべき被処理体を載置するために前記処理容器内に設けられた載置台と、前記処理容器の天井側に設けられて前記処理容器内へ処理ガスを導入するシャワーヘッド構造とを有する処理装置において、前記シャワーヘッド構造は、前記処理容器の天井部に取り付けられて中央部にヘッド取付開口が形成されたヘッド取付枠体と、底板に複数のガス噴射口を有してその周辺部の側壁が上方へ起立されて容器状に形成されると共に前記側壁の上端面が大気側へ露出するようにして前記ヘッド取付枠体に取り付け固定されるシャワーヘッド本体と、前記シャワーヘッド本体内に収容されて固定されると共に前記ガス噴射孔に連通されたガス拡散室を形成するための拡散室区画板と、前記拡散室区画板に設けられて前記ガス拡散室内へ前記処理ガスを導入するためのガス導入口と、前記シャワーヘッド本体の側壁の大気側へ露出する上端面に取り付けられる冷却機構とよりなることを特徴とする処理装置である。
このように、シャワーヘッド構造のガス噴射口を有するシャワーヘッド本体の一部を大気側へ露出させるようにして、この露出面に冷却機構を取り付けるようにしたので、冷却時の熱伝導性が向上して温度制御性が改善されてガス噴射面を効率的に冷却でき、この結果、このガス噴射面の部分にパーティクルの原因となる不要な膜(堆積膜)が付着することを防止することができる。
この場合、例えば請求項3に規定するように、前記冷却機構取付孔は、前記ヘッド取付枠体の周方向に沿って所定の間隔で複数個形成されている。
また例えば請求項4に規定するように、前記拡散室区画板は、積層するようにして複数枚設けられると共に前記ガス拡散室は互いに分離区画されて複数個設けられる。
また例えば請求項5に規定するように、前記冷却機構は、ペルチェ素子と冷却媒体を流す冷却ジャケットとよりなる。
また例えば請求項6に規定するように、前記ヘッド取付枠体は、前記処理容器との間で取り付けられたヒンジ部により展開可能になされている。
本発明に係る処理装置によれば次のように優れた作用効果を発揮することができる。
請求項1、3〜6に係る発明によれば、シャワーヘッド構造のガス噴射口を有するシャワーヘッド本体の一部を大気側へ露出させるようにして、この露出面に冷却機構を取り付けるようにしたので、冷却時の熱伝導性が向上して温度制御性が改善されてガス噴射面を効率的に冷却でき、この結果、このガス噴射面の部分にパーティクルの原因となる不要な膜(堆積膜)が付着することを防止することができる。
またガス噴射口の有するシャワーヘッド本体だけを取り外して分解可能としたので、クリーニング処理等のメンテナンス作業を迅速に短時間で且つ、簡単に行うことができる。
請求項2に係る発明によれば、シャワーヘッド構造のガス噴射口を有するシャワーヘッド本体の一部を大気側へ露出させるようにして、この露出面に冷却機構を取り付けるようにしたので、冷却時の熱伝導性が向上して温度制御性が改善されてガス噴射面を効率的に冷却でき、この結果、このガス噴射面の部分にパーティクルの原因となる不要な膜(堆積膜)が付着することを防止することができる。
以下に本発明に係る処理装置の一実施例を添付図面に基づいて詳述する。
<第1実施例>
図1は本発明に係る処理装置の第1実施例を示す断面構成図、図2はシャワーヘッド構造の拡大断面図、図3は処理装置の平面図、図4はシャワーヘッド構造の分解組み立て工程の一部を示す図である。ここでは処理装置として成膜装置を例にとって説明する。
図示するようにこの成膜装置22は、例えば断面の内部が略円形状になされて天井部が開口されたアルミニウム製の処理容器24を有している。この処理容器24内の天井部には必要な処理ガス、例えば成膜用の原料ガスやそれ以外の酸化ガス等を導入するために本発明の特徴とするシャワーヘッド構造26が設けられており、この下面のガス噴射面28に設けた多数のガス噴射口30から処理空間Sに向けて処理ガスを吹き出すようにして噴射するようになっている。このシャワーヘッド構造26の詳細については後述する。
また、処理容器24の側壁には、この処理容器24内に対して被処理体としての半導体ウエハWを搬入搬出するための搬出入口32が設けられると共に、この搬出入口32には気密に開閉可能になされたゲートバルブ34が設けられている。
そして、この処理容器24の底部36に排気落とし込め空間38が形成されている。具体的には、この容器底部36の中央部には大きな開口40が形成されており、この開口40に、その下方へ延びる有底円筒体状の円筒区画壁42を連結してその内部に上記排気落とし込め空間38を形成している。そして、この排気落とし込め空間38を区画する円筒区画壁42の底部44には、これより起立させて例えば石英ガラス等よりなる円筒体状の支柱46が設けられており、この上端部に載置台48が溶接により固定されている。尚、上記支柱46や載置台48をAlN等のセラミックにより形成してもよい。
そして、上記排気落とし込め空間38の入口側の開口40は、載置台48の直径よりも小さく設定されており、上記載置台48の周縁部の外側を流下する処理ガスが載置台48の下方に回り込んで開口40へ流入するようになっている。そして、上記円筒区画壁42の下部側壁には、この排気落とし込め空間38に臨ませて排気口50が形成されており、この排気口50には、真空排気系52が接続される。具体的には、この真空排気系52は、図示しない真空ポンプが介設された排気管54よりなり、この排気管54を上記排気口50に接続して処理容器24内及び排気落とし込め空間38の雰囲気を真空引きして排気できるようになっている。
そして、この排気管54の途中には、開度コントロールが可能になされた図示しない圧力調整弁が介設されており、この弁開度を自動的に調整することにより、上記処理容器24内の圧力を一定値に維持したり、或いは所望する圧力へ迅速に変化させ得るようになっている。
また、上記載置台48には、例えばカーボンワイヤ等の抵抗加熱ヒータよりなる加熱手段56が埋め込まれており、この載置台48の上面に被処理体としての半導体ウエハWを載置し、これを加熱し得るようになっている。上記加熱手段56は上記支柱46内に配設された給電線58に接続されて、電力を制御しつつ供給できるようになっている。
上記載置台48には、この上下方向に貫通して複数、例えば3本のピン挿通孔60が形成されており(図1においては2つのみ示す)、上記各ピン挿通孔60に上下移動可能に遊嵌状態で挿通させた押し上げピン62を配置している。この押し上げピン62の下端には、円形リング形状に形成された例えばアルミナのようなセラミックス製の押し上げリング64が配置されており、この押し上げリング64に、上記各押し上げピン62の下端を固定されない状態にて支持させている。この押し上げリング64から延びるアーム部66は、容器底部36を貫通して設けられる出没ロッド68に連結されており、この出没ロッド68はアクチュエータ70により昇降可能になされている。これにより、上記各押し上げピン62をウエハWの受け渡し時に各ピン挿通孔60の上端から上方へ出没させるようになっている。また、アクチュエータ70の出没ロッド68の容器底部の貫通部には、伸縮可能なベローズ72が介設されており、上記出没ロッド68が処理容器24内の気密性を維持しつつ昇降できるようになっている。
次に本発明の特徴とするシャワーヘッド構造26について説明する。
図2乃至図4にも示すように上記シャワーヘッド構造26は、上記処理容器24の天井部の周辺部に取り付けられて中央部に大きなヘッド取付開口74(図4参照)が形成されたヘッド取付枠体76と、その底板78Aに複数のガス噴射口30を有してその周辺部の側壁78Bが上方へ起立されて容器状に形成されたシャワーヘッド本体78と、このシャワーヘッド本体78を上記底板78A側から螺合して上記ヘッド取付枠体76側へ固定する締付ボルト80と、上記容器状のシャワーヘッド本体78内に収容されてガス拡散室を形成するための複数、図示例では3枚の拡散室区画板82A、82B、82Cと、上記拡散室区画板、例えば最上段の拡散室区画板82Cに形成されて処理ガスを導入するための複数、例えば2つのガス導入口83A、83Bと、上記シャワーヘッド本体78を冷却するための冷却機構84とにより主に構成されている。
具体的には、上記シャワーヘッド構造26の全体は、例えばニッケルやハステロイ(登録商標)等のニッケル合金、アルミニウム、或いはアルミニウム合金により形成されている。上記ヘッド取付枠体76の平面の全体は図3に示すように略矩形状に成形されて、その中央部に大口径の円形状のヘッド取付開口74(図4参照)が形成される。このヘッド取付枠体76は、上記処理容器24の天井部の周辺部にOリング等のシール部材86を介してボルト88により気密に取り付け固定されている。このボルト88は、図2においては1本しか記載していないが、実際には、ヘッド取付枠体76の周方向に沿って等間隔で複数本設けられている。またこのヘッド取付枠体76の一側には、図示しないアクチュエータによって駆動されるヒンジ部90が上記処理容器24の側壁との間でボルト91によって取り付け固定されており、このヘッド取付枠体76を固定する上記ボルト88を取り外した状態でこのヘッド取付枠体76を例えば180度展開(開閉)可能としている。
また、このヘッド取付枠体76の上端部には、その中心方向へ所定の長さだけ延びるリング状の取付フランジ部92が形成されており、この取付フランジ部92の内側が上記ヘッド取付開口74となっている。そして、このヘッド取付枠体76の周辺部となる上記取付フランジ部92には図3にも示すように、その周方向に沿って所定の間隔で配置された複数、図示例では4つの円弧状の冷却機構取付孔94が形成されている。
また円形容器状に形成された上記シャワーヘッド本体78は、底板78Aと側壁78Bとが一体成形されており、前述したようにその底板78Aに多数のガス噴射口30が形成されている。このガス噴射口30は、2つのグループに分けられており、後述するように一方のグループのガス噴射口30Aは原料ガスを噴射するようになっており、他方のグループのガス噴射口30BはO 等の酸化ガスを噴射するようになっている。
このシャワーヘッド本体78のリング状の側壁78Bの上部には、前記ヘッド取付枠体76の冷却機構取付孔94に対応させて、この冷却機構取付孔94に嵌合し得るようになされた突状の嵌合凸部96がその周方向に沿って4つ円弧状に形成されている(図4参照)。従って、この嵌合凸部96が上記冷却機構取付孔94に着脱自在に嵌合されてシャワーヘッド本体78の一部であるこの嵌合凸部96の上面を大気側に露出させるようになっている。また、この側壁78の外周側の高さ方向の中央部には、その周方向に沿ってシール用フランジ98がリング状に形成されており、組み立て時にこのシール用フランジ98と上記ヘッド取付枠体76の下面との間にOリング等のシール部材100(図2参照)を介設することによってこの部分を気密にシールしている。
このようなシャワーヘッド本体78は、ガス噴射面28の周辺部より上方に向けて挿入されて2枚の拡散室区画板82A、82Bを突き抜けて上記取付フランジ部92に螺合される締付ボルト80(図2参照)により接合固定される。この際、図2に示すように底板78Aの内側周辺部と最下段の拡散室区画板82Aの下面の周辺部との間には、Oリング等のシール部材104が介設されて、この部分を気密にシールしている。図2及び図4においては、締付ボルト80は1本しか記載していないが、実際には、シャワーヘッド本体78の周方向に沿って複数本設けられている。
また上記3枚の拡散室区画板82A、82B、82Cの内、下段側の2つの拡散室区画板82A、82Bの直径は、共に上記シャワーヘッド本体78の内側に略接して収容できるような大きさに設定され、最上段の拡散室区画板82Cの直径は、それよりもかなり小さく設定されている。上記下段側の2つの拡散室区画板82A、82Bは、最下段の拡散室区画板82Aの下面周辺部よりその上方に向けて挿入して中段の拡散室区画板82Bを貫通し、その先端が取付フランジ部92に螺合されたボルト106(図2参照)により締め付け固定されている。また中段の拡散室区画板82Bは、上記取付フランジ部92より挿通してその先端をこの中段の拡散室区画板82Bに螺合したボルト108により締め付け固定されている。更に、上記最上段の拡散室区画板82Cは、ボルト110によって中段の拡散室区画板82Bに取り付け固定している。尚、上記ボルト106、108及びボルト110は、図2中においては、1本、或いは2本しか記載していないが、実際には、その周方向に沿って複数本設けられているのは勿論である。
また上記最下段と中段の拡散室区画板82A、82Bの接合面の周辺部及び中段と最上段の拡散室区画板82B、82Cの接合面の周辺部には、それぞれOリング等のシール部材112、114が介設されており、この部分を気密にシールしている。また中段と最上段の拡散室区画板82B、82Cの接合面の中心部側にも、Oリング等のシール部材116が介設されており、後述するガス流路とガス拡散室との間をシールしている。
また最下段の拡散室区画板82Aの下面側には、例えば同心円状になされた複数の凹部状の溝部118が形成されており、これにシャワーヘッド本体78を組み付けた時に上記溝部118により酸化ガス用のガス拡散室120を形成し得るようになっている。このガス拡散室120に上記酸化ガス用のガス噴射口30Bが連通される。
また最下段の拡散室区画板82Aの上面側には、幅広の凹部状の溝部122が形成されており、これを中段の拡散室区画板82Bに組み付けた時に上記溝部122により原料ガス用のガス拡散室124を形成し得るようになっている。このガス拡散室124に上記原料ガス用のガス噴射口30Aが連通される。
また最上段の拡散室区画板82Cの下面にも幅広の凹部状の溝部126が形成されており、これを中段の拡散室区画板82Bに組み付けた時に上記溝部126により酸化ガス用のガス拡散室128を形成し得るようになっている。そして、上記最上段、中段及び最下段の拡散室区画板82C、82B、82Aには、原料ガス用の導入口83Aから原料ガス用のガス拡散室124へ通じるガス流路130が例えば穿工加工によって形成されると共に、酸化ガス用の導入口83Bから酸化ガス用のガス拡散室128及び120へ通じるガス流路132が形成される。尚、図2中における各ガス流路130、132や各ガス拡散室120、124、128は本発明の理解を容易にするために簡略化して記載したものであり、実際にはもっと複雑化な構成となっている。
また、上記中段及び最上段の拡散室区画板82B、82Cの内の上面の大気に晒される面には、このシャワーヘッド構造26内に導入された原料ガスの再液化を防止するために加熱する再液化防止ヒータ134が略全面に亘って設けられている。
そして、上記シャワーヘッド本体78の側壁78Bの上端面の内、上記冷却機構取付孔94を介して大気側に晒されている部分に前記冷却機構84が設けられる。具体的には、この冷却機構84は、上記大気に晒された露出面に直接的に取り付けられるペルチェ素子138と、このペルチェ素子138で発生した熱を排出するためにシャワーヘッド本体78の周方向に沿って配設された冷却ジャケット140とよりなり、この冷却ジャケット140に冷媒として例えば冷却水を流すことによって、上記シャワーヘッド本体78を冷却し得るようになっている。尚、図2はペルチェ素子138を設けた状態を示し、冷却ジャケット140の記載は省略している。
次に、以上のように構成された成膜装置の動作について説明する。
ここでは処理ガスとして原料ガスと酸化ガスを用いている。そして、原料ガスとしてはHf(ハフニウム)を含む有機金属材料ガスを用い、酸化ガスとしてはO ガスを用いてHf酸化物(HfO )の薄膜を堆積させる場合について説明する。
まず、未処理の半導体ウエハWは、図示しない搬送アームに保持されて開状態となったゲートバルブ34、搬出入口32を介して処理容器24内へ搬入され、このウエハWは、上昇された押し上げピン62に受け渡された後に、この押し上げピン62を降下させることにより、ウエハWを載置台48の上面に載置してこれを支持する。
次に、シャワーヘッド構造26へ原料ガスであるHf有機金属含有ガスと酸化ガスであるO ガスとを流量制御しつつ供給して、このガスをそれぞれガス噴射口30A、30Bよりそれぞれ吹き出して噴射し、処理空間Sへ導入する。尚、このHf有機金属含有ガスは、常温では液体、或いは固体の有機金属材料を溶剤、例えばオクタンに溶かし、これを気化器にて気化させる事によって作られる。そして、排気管54に設けた真空ポンプ(図示せず)の駆動を継続することにより、処理容器24内や排気落とし込め空間38内の雰囲気を真空引きし、そして、圧力調整弁の弁開度を調整して処理空間Sの雰囲気を所定のプロセス圧力に維持する。この時、ウエハWの温度は、載置台48内に設けた加熱手段56により加熱されて所定のプロセス温度に維持されている。これにより、半導体ウエハWの表面にHfO の薄膜が形成されることになる。
この時、上記Hf有機金属含有ガスは、活性が非常に高くて分解し易く、処理空間S内に導入されると比較的短時間で分解し、また、このHf有機金属材料自体に酸素原子が含まれていることから、主にこの含有酸素原子とHf原子とが化合して上記したようにウエハ表面にCVD(Chemical Vapor Deposition)によりHfO 膜が堆積することになる。また、上記酸化ガスであるO ガスは、上記反応を側面よりサポートすることになる。
この際、ガス噴射口30Aを処理空間Sに向けて流れる原料ガスが過度に高温状態になると、この原料ガスが直ちに分解されてガス噴射口30A内に堆積物が析出してこれを閉塞したり、ガス噴射面28に多量の堆積物が付着するので、この現象が発生することを防止するために、冷却機構84を設けてこのガス噴射面28の部分を冷却するようになっている。この場合、図7に示す従来装置にあっては、積層結合された複数枚のヘッド板を介して冷熱を伝達するようにしていたので、熱伝導効率が劣って効率的な冷却ができなかったが、本発明の場合には、シャワーヘッド本体78の側壁78Bの一部を大気側に晒し、この部分に冷却機構84を直接的に取り付けているので、熱伝導効率が向上して上記シャワーヘッド本体78の底面78A、すなわちガス噴射面28を、原料ガスが再液化しない範囲の温度で効率的に冷却することができる。換言すれば、シャワーヘッド本体78の底板78Aと側壁78Bとが一体的に成形されているので、熱伝導効率が非常に高くなって冷熱により、ガス噴射面28を非常に効率的に冷却することができる。従って、ガス噴射口30A内が分解した堆積物で閉塞されたり、ガス噴射面28に分解した堆積物(不要な膜)が付着することを、大幅に抑制することができる。
また、半導体ウエハWを複数枚処理する内に、ガス噴射面28にはパーティクル等の原因となる不要な膜(堆積物)が次第に付着することは避けられないので、このガス噴射面28を必要に応じて定期的、或いは不定期的にクリーニング処理する必要がある。この場合、図7に示す従来の装置にあっては、このガス噴射面をクリーニング処理するためには、シャワーヘッド構造全体を分解して取り外さなければならないことから、メンテナンス作業が非常に煩雑で時間も要したが、本実施例の場合にはシャワーヘッド構造26の全体を分解する必要はなくて、クリーニング処理のためにガス噴射面28を有するシャワーヘッド本体78のみを取り外すことができるので、クリーニング処理等のメンテナンス作業を迅速に、且つ短時間で容易に行うことができる。
すなわち、ガス噴射面28のクリーニング作業を行う場合には、まず、シャワーヘッド構造26のシャワーヘッド本体78に取り付けている冷却機構84を取り外し、更に、このシャワーヘッド構造26のヘッド取付枠体76を処理容器24側へ取り付け固定している全てのボルト88を取り外して処理容器24からシャワーヘッド構造26を分離可能とする。
次に、このヘッド取付枠体76の一側に設けたヒンジ部90を回転中心として、このシャワーヘッド構造26の全体を、90度、或いは180度展開(回転)する。次に図4に示すように、上記のようにシャワーヘッド構造26の全体を展開した状態で、シャワーヘッド本体78を、ヘッド取付枠体76の取付フランジ部92へ固定していた全ての締付ボルト80を取り外し、そして、このシャワーヘッド本体78のみをヘッド取付枠体76から、この下面側の方向へ抜き出すようにして取り外して離脱させる。これにより、クリーニング作業のためにシャワーヘッド本体78だけを分解して取り外すことができる。この際、他の部品、例えば3枚の拡散室区画板82A〜82Cは、上記ヘッド取付枠体76側へ固定されたままであり、これらを分解する必要はない。このように、ガス噴射面28を有するシャワーヘッド本体78だけを取り外して分解可能としたので、クリーニング処理等のメンテナンス作業を迅速に短時間で、且つ簡単に行うことができる。
<第2実施例>
次に本発明の第2実施例について説明する。この第2実施例は、主としてシャワーヘッド本体を効率的に冷却することを目的としたものである。図5はこのような本発明の第2実施例に用いるシャワーヘッド構造を主として示す拡大断面図、図6はシャワーヘッド構造の分解組み立て工程の一部を示す図である。尚、図1乃至図4に示す構成部分と同一構成部分については同一符号を付してその説明を省略する。
この第2実施例では、シャワーヘッド本体78の側壁78Bの上部に、その周辺部外方に延在するリング状のシール用フランジ152を設け、このシール用フランジ152を、ヘッド取付枠体76の上端部の内周に設けた係合段部154にその上方より係合させてこれを支持するようになっている。そして、シール用フランジ152と係合段部154との接合面にOリング等のシール部材100を介在させてこの部分を気密にシールしている。そして、このシール用フランジ152の上方からボルト156を締め付けることにより、これと係合段部154とを接合させている。尚、ここでは図2において必要とされたシール用フランジ98を設けていない。
そして、この第2実施例の場合にも、上記シャワーヘッド本体78の上端面側は大気に晒されており、この上端面に冷却機構84が取り付け固定されることになる。また、この第2実施例では、最下段の拡散室区画板82Aはボルト158によりシャワーヘッド本体78の底板78A側に取り付け固定され、中段の拡散室区画板82Bは、この上方より挿通されるボルト160により、最下段の拡散室区画板82A及びシャワーヘッド本体78の底板78A側に取り付け固定されることになる。
上記した本発明の第2実施例の場合にも先の第1実施例と同様に、シャワーヘッド本体78の側壁78Bの一部を大気側に晒し、この部分に冷却機構84を直接的に取り付けているので、熱伝導効率が向上して上記シャワーヘッド本体78の底面78A、すなわちガス噴射面28を、原料ガスが再液化しない範囲の温度で効率的に冷却することができる。換言すれば、シャワーヘッド本体78の底板78Aと側壁78Bとが一体的に成形されているので、熱伝導効率が非常に高くなって冷熱により、ガス噴射面28を非常に効率的に冷却することができる。従って、ガス噴射口30A内が分解した堆積物で閉塞されたり、ガス噴射面28に分解した堆積物(不要な膜)が付着することを、大幅に抑制することができる。
また、シャワーヘッド構造26のクリーニング処理等のメンテナンス作業を行う場合には、図6に示すように冷却機構84を取り外した後に、シャワーヘッド本体78とヘッド取付枠体76の係合段部154とを連結している全てのボルト156を取り外してこれらの係合を解き、そして、内側に収容された全ての拡散室区画板82A〜82Cを含むこのシャワーヘッド本体78の全体を上方に取り外す。これにより、シャワーヘッド本体78のクリーニング処理等のメンテナンス作業を行うことができる。
尚、本実施例では3枚の拡散室区画板82A〜82Cを用いて2種類のガス拡散室を設けた場合を例にとって説明したが、拡散室区画板の数及びガス種はこれに限定されず、またガス種についても3種類以上流すようにしてもよい。
また本実施例では成膜用の処理ガスとしてHfを含む有機金属材料ガスとO ガスとを用いてHf酸化物膜を成膜する場合を例にとって説明したが、この膜種に限定されないのは勿論である。更には、被処理体としては半導体ウエハに限定されず、ガラス基板、LCD基板等にも本発明を適用し得る。
本発明に係る処理装置の第1実施例を示す断面構成図である。 シャワーヘッド構造を示す拡大断面図である。 処理装置を示す平面図である。 シャワーヘッド構造の分解組み立て工程の一部を示す図である。 本発明の第2実施例に用いるシャワーヘッド構造を主として示す拡大断面図である。 シャワーヘッド構造の分解組み立て工程の一部を示す図である。 従来の成膜装置の一例を示す概略構成図である。
符号の説明
22 成膜装置(処理装置)
24 処理容器
26 シャワーヘッド構造
28 ガス噴射面
30 ガス噴射口
48 載置台
56 加熱手段
74 ヘッド取付開口
76 ヘッド取付枠体
78 シャワーヘッド本体
78A 底板
78B 側壁
80 締付ボルト
82A〜82C 拡散室区画板
84 冷却機構
83A,83B ガス導入口
90 ヒンジ部
94 冷却機構取付孔
120,124,128 ガス拡散室
138 ペルチェ素子
140 冷却ジャケット
W 半導体ウエハ(被処理体)

Claims (6)

  1. 天井部が開口されて排気可能になされた筒体状の処理容器と、
    処理されるべき被処理体を載置するために前記処理容器内に設けられた載置台と、
    前記処理容器の天井側に設けられて前記処理容器内へ処理ガスを導入するシャワーヘッド構造とを有する処理装置において、
    前記シャワーヘッド構造は、
    前記処理容器の天井部に展開可能に取り付けられて中央部にヘッド取付開口が形成されると共に周辺部に冷却機構取付孔が形成されたヘッド取付枠体と、
    底板に複数のガス噴射口を有してその周辺部の側壁が上方へ起立されて容器状に形成されると共に前記側壁の上端面が前記冷却機構取付孔を介して大気側へ露出するように前記ヘッド取付枠体に、前記ヘッド取付枠体の下面側方向へ挿脱可能に取り付け固定されるシャワーヘッド本体と、
    前記シャワーヘッド本体を前記底板側から螺合して前記ヘッド取付枠体側へ固定する締付ボルトと、
    前記シャワーヘッド本体内に収容されて前記ヘッド取付枠体側に固定されると共に前記ガス噴射口に連通されたガス拡散室を形成するための拡散室区画板と、
    前記拡散室区画板に設けられて前記ガス拡散室内へ前記処理ガスを導入するためのガス導入口と、
    前記シャワーヘッド本体の側壁の大気側へ露出する上端面に取り付けられる冷却機構とよりなることを特徴とする処理装置。
  2. 天井部が開口されて排気可能になされた筒体状の処理容器と、
    処理されるべき被処理体を載置するために前記処理容器内に設けられた載置台と、
    前記処理容器の天井側に設けられて前記処理容器内へ処理ガスを導入するシャワーヘッド構造とを有する処理装置において、
    前記シャワーヘッド構造は、
    前記処理容器の天井部に取り付けられて中央部にヘッド取付開口が形成されたヘッド取付枠体と、
    底板に複数のガス噴射口を有してその周辺部の側壁が上方へ起立されて容器状に形成されると共に前記側壁の上端面が大気側へ露出するようにして前記ヘッド取付枠体に取り付け固定されるシャワーヘッド本体と、
    前記シャワーヘッド本体内に収容されて固定されると共に前記ガス噴射孔に連通されたガス拡散室を形成するための拡散室区画板と、
    前記拡散室区画板に設けられて前記ガス拡散室内へ前記処理ガスを導入するためのガス導入口と、
    前記シャワーヘッド本体の側壁の大気側へ露出する上端面に取り付けられる冷却機構とよりなることを特徴とする処理装置。
  3. 前記冷却機構取付孔は、前記ヘッド取付枠体の周方向に沿って所定の間隔で複数個形成されていることを特徴とする請求項2記載の処理装置。
  4. 前記拡散室区画板は、積層するようにして複数枚設けられると共に前記ガス拡散室は互いに分離区画されて複数個設けられることを特徴とする請求項1乃至3のいずれかに記載の処理装置。
  5. 前記冷却機構は、ペルチェ素子と冷却媒体を流す冷却ジャケットとよりなることを特徴とする請求項1乃至4のいずれかに記載の処理装置。
  6. 前記ヘッド取付枠体は、前記処理容器との間で取り付けられたヒンジ部により展開可能になされていることを特徴とする請求項1乃至5のいずれかに記載の処理装置。

JP2004009505A 2004-01-16 2004-01-16 処理装置 Expired - Fee Related JP4513329B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2004009505A JP4513329B2 (ja) 2004-01-16 2004-01-16 処理装置
KR1020067015363A KR100758744B1 (ko) 2004-01-16 2005-01-14 처리장치
US10/586,050 US7651584B2 (en) 2004-01-16 2005-01-14 Processing apparatus
PCT/JP2005/000395 WO2005069360A1 (ja) 2004-01-16 2005-01-14 処理装置
CNB2005800025277A CN100499033C (zh) 2004-01-16 2005-01-14 处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004009505A JP4513329B2 (ja) 2004-01-16 2004-01-16 処理装置

Publications (2)

Publication Number Publication Date
JP2005203627A true JP2005203627A (ja) 2005-07-28
JP4513329B2 JP4513329B2 (ja) 2010-07-28

Family

ID=34792271

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004009505A Expired - Fee Related JP4513329B2 (ja) 2004-01-16 2004-01-16 処理装置

Country Status (5)

Country Link
US (1) US7651584B2 (ja)
JP (1) JP4513329B2 (ja)
KR (1) KR100758744B1 (ja)
CN (1) CN100499033C (ja)
WO (1) WO2005069360A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
WO2007010887A1 (ja) * 2005-07-19 2007-01-25 Tokyo Electron Limited ガス処理装置
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
WO2011013746A1 (ja) * 2009-07-31 2011-02-03 株式会社アルバック 成膜装置
JP5872089B1 (ja) * 2015-04-27 2016-03-01 中外炉工業株式会社 シャワープレート装置
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP5020650B2 (ja) * 2007-02-01 2012-09-05 東京エレクトロン株式会社 蒸着装置、蒸着方法および蒸着装置の製造方法
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
CN102301460A (zh) * 2009-01-29 2011-12-28 东京毅力科创株式会社 成膜装置和气体排出部件
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5582816B2 (ja) * 2010-02-19 2014-09-03 東京エレクトロン株式会社 カバー固定具及び誘導結合プラズマ処理装置
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
CN102732853B (zh) * 2011-04-08 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有它的基片处理设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014668B (zh) * 2011-09-23 2014-12-24 理想能源设备(上海)有限公司 化学气相沉积装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5977592B2 (ja) * 2012-06-20 2016-08-24 東京応化工業株式会社 貼付装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019053924A (ja) * 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242799A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20230402264A1 (en) * 2020-09-21 2023-12-14 Lam Research Corporation Carrier ring for floating tcp chamber gas plate
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102117A1 (en) * 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116130325A (zh) * 2021-11-12 2023-05-16 中微半导体设备(上海)股份有限公司 安装底座、喷淋头组件、控温方法及等离子体处理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JP2000269183A (ja) * 1999-03-17 2000-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
TW200733203A (en) 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof
KR101004199B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JP2000269183A (ja) * 1999-03-17 2000-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
WO2007010887A1 (ja) * 2005-07-19 2007-01-25 Tokyo Electron Limited ガス処理装置
JP2007027490A (ja) * 2005-07-19 2007-02-01 Tokyo Electron Ltd ガス処理装置
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
WO2011013746A1 (ja) * 2009-07-31 2011-02-03 株式会社アルバック 成膜装置
JPWO2011013746A1 (ja) * 2009-07-31 2013-01-10 株式会社アルバック 成膜装置
TWI473144B (zh) * 2009-07-31 2015-02-11 Ulvac Inc 成膜裝置
JP5872089B1 (ja) * 2015-04-27 2016-03-01 中外炉工業株式会社 シャワープレート装置
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
JPWO2019244790A1 (ja) * 2018-06-20 2021-01-07 株式会社アルバック 真空処理装置、支持シャフト
JP7121121B2 (ja) 2018-06-20 2022-08-17 株式会社アルバック 真空処理装置、支持シャフト

Also Published As

Publication number Publication date
US20070158026A1 (en) 2007-07-12
JP4513329B2 (ja) 2010-07-28
KR20060129341A (ko) 2006-12-15
KR100758744B1 (ko) 2007-09-14
WO2005069360A1 (ja) 2005-07-28
CN1910739A (zh) 2007-02-07
US7651584B2 (en) 2010-01-26
CN100499033C (zh) 2009-06-10

Similar Documents

Publication Publication Date Title
JP4513329B2 (ja) 処理装置
JP4306403B2 (ja) シャワーヘッド構造及びこれを用いた成膜装置
KR100749377B1 (ko) 성막 장치
JP4451221B2 (ja) ガス処理装置および成膜装置
US20050059246A1 (en) Device and method for manufacturing thin films
JP4222086B2 (ja) 熱処理装置
JP2008066413A (ja) シャワーヘッド構造及びこれを用いた処理装置
US20050000450A1 (en) Treatment subject elevating mechanism, and treating device using the same
KR101108579B1 (ko) 퍼니스형 반도체 설비
JP4782761B2 (ja) 成膜装置
JP2005054252A (ja) 薄膜製造装置及び製造方法
KR20150125884A (ko) 유기금속 화학기상 증착장치의 노즐 유닛

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100503

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130521

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees