KR101606736B1 - 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치 - Google Patents

플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치 Download PDF

Info

Publication number
KR101606736B1
KR101606736B1 KR1020117000365A KR20117000365A KR101606736B1 KR 101606736 B1 KR101606736 B1 KR 101606736B1 KR 1020117000365 A KR1020117000365 A KR 1020117000365A KR 20117000365 A KR20117000365 A KR 20117000365A KR 101606736 B1 KR101606736 B1 KR 101606736B1
Authority
KR
South Korea
Prior art keywords
plasma
signals
voltage
instability
current
Prior art date
Application number
KR1020117000365A
Other languages
English (en)
Other versions
KR20110039240A (ko
Inventor
쟝-뽈 부스
미키오 나가이
더글라스 엘 케일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110039240A publication Critical patent/KR20110039240A/ko
Application granted granted Critical
Publication of KR101606736B1 publication Critical patent/KR101606736B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 동안에 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 플라즈마 불안정성을 검출하기 위한 배열이 제공된다. 그 배열은, 프로세싱 챔버의 표면 상에 배치되고 적어도 하나의 플라즈마 프로세싱 파라미터를 측정하도록 구성된 프로브 배열을 포함한다. 프로브 배열은, 플라즈마-대향 센서 및 측정 캐패시터를 포함하며, 플라즈마-대향 센서는 측정 캐패시터의 제 1 플레이트에 결합된다. 또한, 그 배열은 측정 캐패시터의 제 2 플레이트에 결합된 검출 배열을 포함한다. 검출 배열은 측정 캐패시터를 통해 흐르는 유도 전류를 디지털 신호들의 세트로 변환하도록 구성되며, 디지털 신호들의 세트는 플라즈마 불안정성을 검출하기 위해 프로세싱된다.

Description

플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (CCE) 프로브 장치{PASSIVE CAPACITIVELY-COUPLED ELECTROSTATIC (CCE) PROBE ARRANGEMENT FOR DETECTING PLASMA INSTABILITIES IN A PLASMA PROCESSING CHAMBER}
본 발명의 배경
플라즈마 프로세싱 챔버에서의 기판의 프로세싱 동안에, 만족스러운 결과들은 종종 프로세스 파라미터들의 엄격한 제어를 요구한다. 이는 특히, 최신의 고밀도 집적 회로들을 제조하기 위해 채용되는 증착, 에칭, 세정 등과 같은 프로세스들에 대해 사실이다. (바이어스 전압, RF 전력, 이온 플럭스, 플라즈마 밀도, 압력 등과 같은) 프로세싱 파라미터들이 좁은 미리-정의된 윈도우를 초과하는 경우에, 프로세스 이탈이 발생하였다고 한다. 이들 프로세스 이탈들은 종종 바람직하지 않은 프로세싱 결과들 (예컨대, 불량한 에칭 프로파일, 저 선택도 등) 을 초래하는 바람직하지 않은 이벤트들을 나타낸다. 따라서, 프로세스 이탈들의 검출, 특성, 및 회피는 집적 회로들의 제조에서 프로세스 엔지니어들에 대해 중요한 태스크들이다.
프로세스 이탈들을 검출하는 것은 종종 다양한 프로세스 파라미터들의 모니터링을 통해 수행된다. (바이어스 전압, 반사된 전력 등과 같은) 몇몇 프로세스 파라미터들은 직접 측정될 수도 있으며, 다른 프로세스 파라미터들은 측정된 파라미터들로부터 추론될 수도 있다.
예컨대 천이 (transition) 들 및 접지 전달 (ground delivery) 결함들에 의해 종종 야기되는 플라즈마 불안정성 결함들은, 수율을 감소시키고/시키거나 기판을 손상시키고/시키거나 챔버 컴포넌트들을 손상시킬 가능성을 갖는 프로세스 이탈의 타입을 나타낸다. 또한, 수율에 악영향을 미치는 경향이 있고 챔버 컴포넌트들의 예상되는 수명을 단축시키는 경향이 있는 비구속된 플라즈마 발생들이 종종 플라즈마 불안정성들에 뒤따른다.
따라서, 프로세스 결과들 및 프로세스 수율을 개선하는 것뿐만 아니라 기판 및/또는 챔버 컴포넌트들에 대한 손상을 회피하기 위해, 진단 및 레시피 조정의 목적에 대해 플라즈마 불안정성들의 검출이 중요하다.
본 발명의 간단한 개요
본 발명은, 일 실시형태에서, 기판 프로세싱 동안에 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 플라즈마 불안정성을 검출하기 위한 배열에 관한 것이다. 그 배열은, 프로세싱 챔버의 표면 상에 배치되고 적어도 하나의 플라즈마 프로세싱 파라미터를 측정하도록 구성된 프로브 배열을 포함한다. 프로브 배열은 플라즈마-대향 센서 및 측정 캐패시터를 포함하며, 플라즈마-대향 센서는 측정 캐패시터의 제 1 플레이트에 결합된다. 그 배열은 또한, 측정 캐패시터의 제 2 플레이트에 결합된 검출 배열을 포함한다. 검출 배열은 측정 캐패시터를 통해 흐르는 유도 전류를 디지털 신호들의 세트로 변환하도록 구성되며, 디지털 신호들의 세트는 플라즈마 불안정성을 검출하기 위해 프로세싱된다.
상기 개요는 여기서 개시된 본 발명의 다수의 실시형태들 중 하나에만 관한 것이고, 본원의 청구의 범위에서 제시되는 본 발명의 범위를 한정하도록 의도되지 않는다. 본 발명의 이들 및 다른 특징들은 다음의 도면들과 관련하여 본 발명의 상세한 설명에서 이하 더 상세하게 설명될 것이다.
도면들의 여러 뷰들의 간단한 설명
본 발명은, 유사한 참조 번호들이 유사한 엘리먼트들을 지칭하는 첨부 도면들의 도들에서 한정되지 않게 예로써 예시된다.
도 1은, 본 발명의 실시형태에 따른, 패시브 CCE 프로브 아키텍쳐를 채용하는 플라즈마 불안정성 이벤트 검출 배열을 도시한다.
다르게는 또는 부가적으로, 도 2에서 도시된 바와 같이, 전압 측정 디바이스는 프로브 헤드에 또한 결합된 측정 캐패시터의 플레이트에 결합될 수도 있다.
도 3a 및 도 3b는, 본 발명의 일 실시형태에 따른, 몇몇 플라즈마 불안정성 이벤트들을 나타내는 발진 패턴들의 플롯을 도시한다.
도 4a 및 도 4b는, 본 발명의 일 실시형태에 따른, 플라즈마 비구속 이벤트 이전 및 플라즈마 비구속 이벤트에 이르는 플라즈마 불안정성을 나타내는 발진 패턴들의 플롯을 도시한다.
DISCUSSION 의 도 5는 플라즈마를 생성하기 위해 리액터 챔버에 용량성-결합된 무선 주파수 (RF) 소스를 갖는 플라즈마 시스템의 일부의 심플한 개략도를 도시한다.
DISCUSSION 의 도 6a는 RF 충전 이후의 시간 대 전압의 그래프를 도시한다.
DISCUSSION 의 도 6b는 RF 충전 이후에 수집된 전류 데이터의 그래프를 도시한다.
DISCUSSION 의 도 7은 RF 버스트 사이의 단일 시간 간격에 대한 심플한 전류 대 전압 그래프를 도시한다.
DISCUSSION 의 도 8은, 본 발명의 일 실시형태에 따른, 기판 프로세싱 동안에 플라즈마를 자동으로 특성화하기 위한 전체 스텝들을 예시하는 심플한 플로우차트를 도시한다.
DISCUSSION 의 도 9는, 본 발명의 일 실시형태에 따른, 관련성 범위 및 시드 값들을 결정하기 위한 심플한 알고리즘을 도시한다.
DISCUSSION 의 도 10a는 RF 버스트 이후의 시간 대 전류의 예를 도시한다.
DISCUSSION 의 도 10b는 RF 버스트 이후의 시간 대 전압의 예를 도시한다.
DISCUSSION 의 도 10c는 굴절 포인트의 예를 도시한다.
DISCUSSION 의 도 10d는 전류 대 전압 그래프에 적용된 커브-피팅의 예를 도시한다.
실시형태들의 상세한 설명
이제, 본 발명은 첨부 도면들에서 예시된 바와 같은 본 발명의 여러 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 제시된다. 그러나, 본 발명이 그 특정 세부사항들의 일부 또는 전부가 없이도 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료히 하지 않기 위해 공지의 프로세스 단계들 및/또는 구조들은 설명되지 않았다.
본 발명의 실시형태들은, 플라즈마 불안정성 이벤트들을 검출하기 위한 용량성-결합된 정전식 (CCE) 프로브 배열 또는 그 서브세트의 사용에 관한 것이다. 배경으로서, CCE 프로브들은, 이온 플럭스 전자 온도, 플로팅 전위, 박막 두께 등과 같은 플라즈마 프로세싱 파라미터들을 측정하기 위해 오랫동안 채용되어 왔다. CCE 프로브들은 당업계에 알려져 있고, 세부사항들은, 예컨대, 참조로 여기에 통합되는 발명의 명칭이 "Method And Device For Measuring An Ion Flow In A Plasma" 인 미국 특허 제 5,936,413 호 (1999년 8월 10일) 를 포함하는 공개적으로 입수가능한 문헌으로부터 획득될 수도 있다. CCE 프로브는, 예컨대, 개선된 검출 민감도, 센서의 작은 사이즈로 인한 플라즈마에 대한 최소의 섭동 (perturbation), 챔버 벽 상의 탑재의 용이성, 센서 헤드 상의 폴리머 퇴적에 대한 둔감성 (insensitivity) 등을 포함하는 다수의 유리한 점들을 제안한다. 또한, 센서의 플라즈마-대향 (plasma-facing) 표면은 종종 주위의 챔버 벽의 재료와 동일한 재료로 이루어질 수 있고, 그에 의해, 플라즈마에 대한 섭동을 더욱 최소화시킨다. 이들 유리한 점들은 프로세스 파라미터들을 센싱하는데 있어서의 사용에 대해 CCE 프로브들이 매우 바람직하게 되게 한다.
일반적으로 말하자면, CCE 프로브 배열은 측정 캐패시터의 하나의 플레이트에 접속된 플라즈마-대향 센서를 수반한다. 측정 캐패시터의 다른 플레이트는 RF 전압 소스에 결합된다. RF 전압 소스는 RF 를 주기적으로 공급한다.
그러나, 지금까지는, CCE 프로브들이 이온 플럭스, 전자 온도, 플로팅 전위 측정치들 등과 같은 파라미터들을 측정하기 위해 채용되어 왔다. 또한, 종래에, CCE 프로브들은, RF 전압 소스로 측정 캐패시터를 충전하고, RF 발진 트레인들 사이에서 캐패시터 감쇄 전류를 측정함으로써, 측정들을 수행한다. 지금까지, 플라즈마 불안정성 이벤트들을 측정하도록 CCE 프로브 배열 또는 그 서브세트를 적응시키려고 시도하지 않아 왔다.
본 발명의 하나 이상의 실시형태들에 따르면, 플라즈마 불안정성 이벤트의 검출을 가능하게 하기 위해, 혁신적인 패시브 CCE 프로브 배열이 제안된다. 본 발명의 실시형태들에 따른 CCE 프로브 배열은 패시브이지만, (종래 기술에서, 발진 트레인들 사이에서 측정 캐패시터에 걸친 감쇄 전류를 측정하기 위해 행해졌던 바와 같이) 외부 RF 전압 소스에 의해 CCE 배열이 능동적으로 여기되는 경우에도, 플라즈마 불안정성 이벤트 검출을 가능하게 하기 위한 예들 및 논의들이 여기서 제공될 것이다. 따라서, 하나 이상의 실시형태들에서, 측정 캐패시터가 종래의 CCE 프로브 배열들에서 행해졌던 바와 같이 외부 RF 전압 소스를 사용하여 충전되도록 요구되지 않는 점에서, 측정은 수동적으로 수행된다.
본원의 발명자들은, 프로브 표면이 플로팅 전위에 있는 경우에, 프로브와 플라즈마 사이의 총 전류 (net current) 가 제로 (zero) 인 것을 인식하였다. 즉, 플라즈마로부터 프로브로의 전자들 및 양 이온들의 플럭스들이 존재할 수도 있지만, 프로브 헤드와 플라즈마 사이에서 교환되는 순 전하가 제로여서, 제로 총 전류를 발생시킨다. 그러나, 프로브 헤드와 플라즈마 사이의 전위차가 변화하는 경우에, 전류 평형이 순간적으로 교란되어, 측정 캐패시터를 통해 흐르는 순간적인 넌-제로 (non-zero) 유도 전류를 발생시킨다. 예컨대 플라즈마의 전위가 변화되는 경우에, 프로브 헤드와 플라즈마 사이의 전위차가 변화할 수도 있다. 플라즈마 불안정성 이벤트는 플라즈마 전위를 변화시키는 능력을 갖는 이벤트이다. 측정 캐패시터를 통해 그 순간적인 넌-제로 유도 전류를 검출함으로써, 플라즈마 불안정성 이벤트들이 검출될 수도 있다.
또한, 본원의 발명자들은 플라즈마 불안정성 이벤트들의 검출에서 종래의 CCE 프로브 아키텍쳐의 RF 여기 소스에 대한 필요성을 제거하는 것이 가능하다는 것을 인식하였다. 대신에, 측정 캐패시터를 통해 유도 전류를 모니터링함으로써 수동적으로 모니터링이 수행될 수도 있으며, 그 유도 전류는 플라즈마 불안정성 이벤트에 의해 생성된다. 이러한 방식으로, 패시브 CCE 프로브의 아키텍쳐는 종래 기술의 CCE 프로브 아키텍쳐와 상이할 수도 있고 훨씬 더 심플할 수도 있다.
더욱이, 검출 알고리즘 및 전략들은 또한 패턴 검출 및 타이밍에 관하여 상이할 수도 있다. 일반적인 말로, 플라즈마 불안정성 "시그니쳐 (signature)" 신호들의 라이브러리가 먼저 획득된다. 예컨대, 프로세스 엔지니어는 테스트 기판을 프로세싱하면서 특정 불안정성 이벤트가 발생하도록 강제할 수도 있다. 모니터링 캐패시터를 통해 흐르는 전류가 모니터링된다. 전류 신호에서의 임의의 발진 또는 섭동이 모니터링되고 기록된다. 기록된 신호는 발생하였던 특정 불안정성 이벤트에 대한 시그니쳐라 여겨진다. 플라즈마 불안정성 "시그니쳐" 신호들의 라이브러리를 생성하기 위해, 플라즈마 불안정성의 상이한 타입들이 생성될 수도 있다. 생산 기판 상의 후속하는 생산 프로세싱 동안에, 측정 캐패시터에 걸친 전류가 모니터링된다. 모니터링된 전류가 저장된 플라즈마 불안정성 시그니쳐에 매칭하는 경우에, 저장된 신호와 연관된 불안정성이 확인될 수도 있다.
플라즈마 불안정성을 확인함으로써, 프로세스 엔지니어들은 챔버 파라미터들이 순응되게 하기 위해, 챔버 파라미터들에 대한 조정을 행할 수 있다. 언급된 바와 같이, 몇몇 불안정성들은 플라즈마 비구속과 같은 더 심각하고 손상시키는 이벤트에 선행한다. 플라즈마 불안정성을 조기에 검출함으로써, 프로세스 엔지니어들이 챔버 결함들을 처리하는데 있어서 더 효과적이고 사전행동적이게 될 수 있으며, 그에 의해, 심각한 시스템 손상의 가능성을 최소화시키거나 또는 적어도 감소시킨다.
본 발명의 실시형태들의 특징들 및 유리한 점들은 도면들 및 다음의 논의들을 참조하여 더 이해될 수도 있다. 도 1은, 본 발명의 일 실시형태에 따른, 패시브 CCE 프로브 아키텍쳐를 채용하는 플라즈마 불안정성 이벤트 검출 배열을 도시한다. 챔버 (102) 는 종래의 것이고, 기판 프로세싱 목적들을 위해 내부에서 플라즈마 (104) 를 생성하도록 채용된다. 도 1의 예에서, 플라즈마 (104) 는 (도 1의 예에서 CCE 프로브 배열을 여기시키기 위해 채용되지는 않은) RF 소스 (106) 에 의해 생성되고 지속된다. 프로브 헤드 (110) 는 상부 전극에 배치되지만, 프로브 헤드 (110) 는 또한 챔버 벽 상의 다른 곳에 배치될 수도 있다. 프로브 헤드 (110) 는 예컨대 종래의 CCE 프로브 헤드를 나타낼 수도 있다. 프로브 헤드 (110) 는 측정 캐패시터 (112) 의 하나의 플레이트와 직렬로 접속된다. 측정 캐패시터 (112) 의 다른 플레이트는 검출 배열 (120) 에 결합된다.
언급된 바와 같이, 도전성 재료로 이루어진 프로브 헤드가 챔버의 표면에 설치된다. 프로브에 짧은 RF 트레인이 인가되어, 캐패시터 (Cm) 가 충전되게 하고, 프로브의 표면이 음의 전위 (접지에 대한 음의 수십 볼트) 를 획득하게 한다. RF 펄스의 종료에 이어서, Cm 이 방전함에 따라 프로브의 전위는 플로팅 전위로 감쇄한다. 전위가 변화하는 레이트는 플라즈마 특성들에 의해 결정된다. 이러한 방전 동안에, 전압 측정 디바이스로 프로브의 전위 (Vf) 가 측정되고, 전류 측정 디바이스로 캐패시터 (Cm) 를 통해 프로브에 흐르는 전류가 측정된다. 전류-전압 특성들, 즉 VI 를 구성하기 위해 커브들 (V(t) 및 I(t)) 이 사용되며, 그 후, 그 전류-전압 특성은 신호 프로세서에 의해 분석된다. 모델 함수가 이들 데이터 포인트들에 피팅되어, 플로팅 전위 (Vf), 이온 포화 전류 (Isat), 및 전자 온도 (Te) 의 추정치들을 산출한다. 추가적인 세부사항들은, 미국 특허청에서 2008년 6월 26일자로 출원되고 (출원 번호 제 61/075,948 호), 미국 특허청에서 2009년 6월 2일자로 출원된 (출원 번호 제 12/477,007 호) 발명의 명칭이 "Methods for Automatically Characterizing a Plasma" 인 공동 계류중인 출원에서 발견될 수도 있으며, 여기서 그 출원은 DISCUSSION 내에 포함된다.
다른 실시형태에서, 외부 RF 소스를 통한 측정 캐패시터의 외부 RF 여기를 요구하지 않으면서 CCE 프로브에 의한 모니터링이 수동적으로 수행될 수도 있다.
도 1의 예에서, 검출 배열 (120) 은 측정 캐패시터 (112) 를 통해 흐르는 유도 전류를 분석을 위한 디지털 신호로 변환하도록 구성된다. 따라서, 측정 캐패시터 (112) 를 통해 흐르는 유도 전류를 아날로그 전압으로 변환하도록 구성된 전류-전압 변환기 (130) 가 존재한다. 아날로그-디지털 변환기 (132) 는 전류-전압 변환기 (130) 로부터의 아날로그 전압 신호를 디지털 신호로 변환하며, 그 후, 그 디지털 신호는 신호 프로세서 (108) 에 제공된다. 신호 프로세서 (108) 는, 디지털 신호에 대해 신호 프로세싱을 수행하여, 플라즈마 불안정성 이벤트들의 고주파수 섭동 특성들을 검출하기 위해 채용되는 하드웨어 및/또는 소프트웨어를 나타낼 수도 있다.
정확한 섭동들은 챔버마다 변화할 수도 있고, 플라즈마 불안정성 이벤트 타입들에 따라 변화할 수도 있다. 상관없이, 이들 섭동들은 센서 신호에서 고유한 신호 시그니쳐를 발생시키는 경향이 있다. 섭동들은 주기적일 수도 있거나, 또는 무질서할 수도 있다. 플라즈마 불안정성 시그니쳐 신호들의 라이브러리와 센서 신호를 비교함으로써, 플라즈마 불안정성 이벤트들이 검출될 수도 있다. 그 후, 플라즈마 불안정성 이벤트들의 검출은, 예컨대 프로세스 파라미터들을 변화시키고/시키거나 추가적인 손상을 방지하기 위한 플라즈마 프로세싱 시스템을 셧다운시키는 것을 포함하는 보정 액션들을 용이하게 하기 위해 툴 제어 회로 (140) 에 공급될 수도 있다.
다르게는 또는 부가적으로, 도 2에서 도시된 바와 같이, 전압 측정 디바이스 (202) 는, 프로브 헤드 (206) 에 또한 결합된 측정 캐패시터의 플레이트 (204) 에 결합될 수도 있다. 전압 측정 디바이스 (202) 는 프로브 헤드의 전위에서 섭동들을 검출하기 위해 채용된다. 다시, 전압 측정은 플라즈마 불안정성 이벤트들을 나타내는 고주파수 섭동 패턴들에 대해 분석될 수도 있다. 원하는 경우에, 전압 측정치들은, 디지털 분석 기술들을 사용하여 프로브 전압에서 고주파수 섭동들을 검출하기 위한 신호 프로세싱 목적들을 위해 디지털 신호로 변환될 수도 있다.
다르게는 또는 부가적으로, 측정 캐패시터를 통해 흐르는 전류에서의 섭동의 검출을 용이하게 하기 위해, 프로브 헤드에 결합되지 않은 캐패시터의 플레이트에 변압기가 결합될 수도 있다. 당업자는, 전류 신호 또는 전압 신호에서의 고주파수 천이들을 검출하기 위한 다른 기술들이 또한 존재하고, 플라즈마 불안정성 이벤트들의 검출을 용이하게 하기 위해 양호하게 채용될 수도 있다는 것을 쉽게 인식할 것이다.
플라즈마 불안정성 이벤트 검출이 센서 신호에서의 특정 패턴들의 검출에 의존하므로, 듀얼-기능 CCE 프로브를 구현하는 것이 가능하며, 그에 의해, 종래의 CCE 프로브 배열들에서 행해지는 바와 같이, 주기적인 RF 펄스 트레인들에 의해 측정 캐패시터가 또한 충전된다. 예컨대, RF 여기 펄스들이 측정 캐패시터에 인가되지 않는 시간 동안에, (프로브 헤드의 전위 또는 유도 전류 중 어느 하나에 대해) 그 검출을 수행하는 것이 가능하다. 예컨대, 불안정성 신호들이 측정 캐패시터의 비교적 느린 방전 레이트보다 더 높은 주파수인 경향이 있기 때문에, 캐패시터가 방전하고 있는 경우, 또는 프로브 헤드가 비교적 안정된 플로팅 전위에 있는 경우에, 인접한 여기 RF 발진 트레인들 사이의 시간 기간에서 그 검출을 수행하는 것이 가능하다. 전술한 논의로부터 당업자에 의해 인식될 수 있는 바와 같이, 특정 패턴들의 유도 전류 신호 또는 전압 신호에서의 검출은 측정 캐패시터의 외부 RF 여기를 갖거나 또는 갖지 않으면서 수행될 수도 있다.
도 3a 및 도 3b는, 본 발명의 일 실시형태에 따른, 몇몇 플라즈마 불안정성 이벤트들을 나타내는 발진 패턴들의 플롯을 도시한다. 트레이스 (302) 는 플라즈마 프로세싱 동안에 측정 캐패시터를 통한 유도 전류를 추적한다. 도 3b는 트레이스 (302) 의 부분 (304) 의 확대된 뷰를 도시한다. 도 3b에서, 센서 전류 신호가 약 4 ㎑ 로 주기적이고, 더블-피크 값을 갖는 것이 관측된다. 플라즈마 불안정성 신호들의 라이브러리와의 비교는, 센서 전류 신호가 플라즈마 불안정성과 연관된 특성들을 나타내고, 또한, 불안정성이 고 챔버 압력과 연관되는 것을 나타낸다. 실제로, 챔버 압력은 실험 동안에 약 750 mTorr 이다. 이 방식으로, 플라즈마 불안정성이 검출되고 분류될 수도 있어서, 프로세서 엔지니어 및/또는 툴 제어 컴퓨터가 더 신속하고 효율적으로 응답할 수 있게 한다.
도 4a 및 도 4b는, 본 발명의 일 실시형태에 따른, 플라즈마 비구속 이벤트 이전 및 플라즈마 비구속 이벤트에 이르는 플라즈마 불안정성을 나타내는 발진 패턴들의 플롯을 도시한다. 트레이스 (402) 는 플라즈마 프로세싱 동안의 이온 포화 전류를 추적한다. 도 4b는 트레이스 (402) 의 부분 (404) 의 확대된 뷰를 도시한다. 도 4a에서, 비구속 이벤트 이전 대략 1.5 초 이전에, 센서 전류 신호가 약 260 ㎐ 로 발진하는 것이 관측된다. 도 4a의 포인트 (410) (도 4b에서의 참조 번호 (412)) 에서 비구속 이벤트 그 자체가 시작한다.
도 4a에서 관측될 수 있는 바와 같이, 비구속 이벤트 이전의 (도 4의 포인트 (410) 이전의) 센서 전류 신호는 특정 주파수 범위에서 특성 발진을 갖는다. 플라즈마 불안정 시그니쳐 신호들의 라이브러리와 센서 전류 신호를 비교함으로써, 그 패턴은, 플라즈마 비구속 이벤트 이전의 플라즈마 불안정성을 나타내는 저장된 플라즈마 불안정성 시그니쳐 신호와 상관될 수 있다. 플라즈마가, 비구속된 플라즈마 이벤트에 통상적으로 이르는 불안정성의 타입을 경험하고 있는 것을 확인함으로써, 툴 제어 컴퓨터 또는 인간 오퍼레이터는, 플라즈마 비구속 이벤트가 기판 및/또는 챔버 컴포넌트들에 대해 야기할 손상을 경험하는 것을 회피하기 위해, (프로세싱 파라미터들을 변화시키거나 또는 심플하게 툴을 셧다운하는 것과 같은) 보정 액션을 취하는 것이 가능할 수도 있다.
ESC 척 상의 전압 및/또는 순방향 RF 전력 및/또는 반사된 RF 전력을 측정하는 것과 같은, 종래 기술의 불안정성 검출 기술들과 대조적으로, 본 발명의 패시브 CCE 프로브-기반 플라즈마 불안정성 검출 기술은 매우 민감하다. 또한, CCE 프로브 헤드가, 플라즈마 프로세싱 챔버의 주위의 플라즈마-대향 구조들과 평평하게 되어 설치되도록 작게 되는 경향이 있고, 챔버의 플라즈마-대향 컴포넌트들의 재료와 동일한 재료로 형성된 플라즈마-대향 프로브 표면을 가질 수도 있으므로, 플라즈마에 대한 최소의 섭동이 존재한다. 또한, 전류가 플라즈마 상에 형성될 수도 있는 임의의 퇴적을 통해 용량성 결합되므로, 본 발명의 패시브 CCE 프로브-기반 플라즈마 불안정성 검출 기술은 플라즈마-대향 프로브 헤드 상의 폴리머 퇴적에 둔감하다.
본 발명이 여러 바람직할 실시형태들에 관하여 설명되었지만, 본 발명의 범위 내에 속하는, 변경물들, 치환물들, 및 균등물들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 다수의 다른 방법들이 존재하는 것이 주의되어야 한다. 여기서 다양한 예들이 제공되지만, 이들 예들은 예시적이고 본 발명에 대하여 한정하지 않는 것으로 의도된다.
또한, 편의를 위해 여기서 발명의 명칭 및 개요가 제공되고, 본원의 청구의 범위를 해석하는데 사용되지 않아야 한다. 또한, 요약은 매우 축약된 형태로 기재되고, 편의를 위해 여기서 제공되며, 따라서, 청구의 범위에서 나타내는 전체 발명을 해석하거나 또는 한정하기 위해 채용되서는 않된다. 여기서 "세트" 라는 용어가 채용되는 경우에, 그러한 용어는, 0, 1, 또는 1 보다 더 많은 멤버를 커버하기 위한 그 일반적으로 이해되는 수학적인 의미를 갖도록 의도된다. 또한, 다음의 첨부된 청구의 범위는, 본 발명의 사상 및 범위 내에 속하는 바와 같은 모든 그러한 변경물들, 치환물들, 및 균등물들을 포함하는 것으로서 해석되도록 의도된다.
또한, DISCUSSION 은, 미국 특허청에서 2008년 6월 26일자로 출원되고 (출원 번호 제 61/075,948 호), 미국 특허청에서 2009년 6월 2일자로 출원된 (출원 번호 제 12/477,007 호) 발명의 명칭이 "Methods for Automatically Characterizing a Plasma" 인 공동 계류중인 출원에서 발견되며, 이들은 여기에 참조로 통합된다.
플라즈마를 자동으로 특성화하기 위한 방법들의 DISCUSSION
플라즈마 프로세싱에서의 진보들은 반도체 산업에서의 성장을 제공하여 왔다. 통상적인 전자 제품에 대해 칩들을 공급하기 위해, 수백 또는 수천 개의 (반도체 웨이퍼들과 같은) 기판들이 프로세싱될 수도 있다. 제조 회사가 경쟁력 있게 되기 위해, 제조 회사는 최소의 프로세싱 시간으로 기판들을 양질의 반도체 디바이스들로 프로세싱하는 것이 가능할 필요가 있다.
통상적으로, 플라즈마 프로세싱 동안에, 기판들이 부정적으로 영향을 받게 할 수도 있는 문제들이 발생할 수도 있다. 프로세싱되고 있는 기판의 품질을 변경할 수도 있는 일 중요한 요인은 플라즈마 그 자체이다. 플라즈마를 분석하기에 충분한 데이터를 갖기 위해, 각각의 기판에 관한 프로세싱 데이터를 수집하도록 센서들이 채용될 수도 있다. 수집된 데이터는 문제들의 원인을 결정하기 위해 분석될 수도 있다.
논의를 용이하게 하기 위해, 도 5는 플라즈마 시스템 (A-100) 의 일부에서의 데이터 수집 프로브의 심플한 개략도를 도시한다. 플라즈마 시스템 (A-100) 은, 플라즈마 (A-106) 를 생성하기 위해 리액터 챔버 (A-104) 에 용량성-결합된, 맥동 RF 주파수 생성기와 같은, 무선 주파수 (RF) 소스 (A-102) 를 포함할 수도 있다. RF 소스 (A-102) 가 턴온되는 경우에, 약 26.2 나노패럿 (nF) 일 수도 있는 외부 캐패시터 (A-108) 에 걸쳐 바이어스 전압이 발생된다. 일례에서, RF 소스 (A-102) 는 수 밀리초 (예컨대, 약 5 밀리초) 마다 전력의 작은 버스트 (예컨대, 11.5 메가헤르츠) 를 제공하여, 외부 캐패시터 (A-108) 가 충전되게 할 수도 있다. RF 소스 (A-102) 가 턴오프되는 경우에, 바이어스 전압은 극성을 가지고 외부 캐패시터 (A-108) 상에서 유지되어, 프로브 (A-110) 가 이온들을 수집하기 위해 바이어스된다. 바이어스 전압이 감쇄함에 따라, 도 6a, 도 6b, 및 도 7에서 도시된 바와 같은 커브들이 트레이스 (trace) 될 수도 있다.
당업자는, 프로브 (A-110) 가 일반적으로 리액터 챔버 (A-104) 의 벽에 대해 배치될 수도 있는 도전성의 평탄한 표면을 갖는 전기 프로브라는 것을 인지한다. 따라서, 프로브 (A-110) 는 리액터 챔버 (A-104) 환경에 직접적으로 노출된다. 프로브 (A-110) 에 의해 수집된 전류 및 전압 데이터가 분석될 수도 있다. 특정 레시피가 프로브 (A-110) 상에 비도전성의 퇴적층 (A-116) 이 퇴적되게 할 수도 있으므로, 모든 프로브들이 신뢰성 있는 측정치들을 수집하는 것이 가능하지 않을 수도 있다. 그러나, 당업자는, PIF (planar ion flux) 프로브 기법이 측정을 구현하기 위해 직류 전류 (DC) 를 드로잉 (draw) 하도록 요구되지 않으므로, PIF 프로브는 비-도전성의 퇴적층에도 불구하고 데이터가 수집되게 할 수 있다는 것을 인지한다.
플라즈마 시스템 (A-100) 에서의 전류 및 전압 신호는 다른 센서들에 의해 측정된다. 예에서, RF 소스 (A-102) 가 스위치 오프되는 경우에 전류 및 전압을 각각 측정하기 위해, 전류 센서 (A-112) 및 고 임피던스 전압 센서 (A-114) 가 채용된다. 그 후, 전류 센서 (A-112) 및 전압 센서 (A-114) 로부터 수집된 측정 데이터는 전류 그래프 및 전압 그래프를 생성하기 위해 플롯 (plot) 될 수도 있다. 데이터는 수동적으로 플롯될 수도 있거나, 또는 데이터는 그래프들을 생성하기 위해 소프트웨어 프로그램으로 입력될 수도 있다.
도 6a는 RF 충전 싸이클 이후의 시간 대 전압의 그래프를 도시한다. 데이터 포인트 (B1-202) 에서, RF 충전이 제공 (즉, RF 버스트) 된 이후에 RF 소스 (A-102) 가 스위치 오프되었다. 이 예에서, 데이터 포인트 (B1-202) 에서, 프로브 (A-110) 에 걸친 전압은 약 음의 57 볼트이다. 플라즈마 시스템 (A-100) 은 휴지 (rest) 상태 (데이터 포인트들 (B1-204 및 B1-206) 사이의 간격) 로 리턴하고, 전압은 일반적으로 플로팅 전압 전위에 도달한다. 이 예에서, 플로팅 전압 전위는 약 음의 57 볼트로부터 약 0 볼트까지 상승한다. 그러나, 플로팅 전압 전위는 0 이 되어야만 할 필요는 없고, 음 또는 양의 바이어스 전압 전위일 수도 있다.
유사하게, 도 6b는 RF 충전 이후에 수집된 전류 데이터의 그래프를 도시한다. 데이터 포인트 (B2-252) 에서, RF 충전이 제공된 이후에 RF 소스 (A-102) 가 스위치 오프되었다. 감쇄 기간 (B2-254) 동안에, 외부 캐패시터 (A-108) 에서 리턴 전류가 방전될 수도 있다. 일례에서, 풀 충전에서, 전류는 약 0.86 mA/cm2 이다 (데이터 포인트 (B2-252)). 그러나, 전류가 완전히 방전되는 경우에, 전류는 0 으로 리턴하였다 (데이터 포인트 (B2-256)). 그래프에 기초하면, 방전은 약 75 밀리초가 걸린다. 데이터 포인트 (B2-256) 로부터 데이터 포인트 (B2-258) 까지, 캐패시터는 방전되어 유지된다.
전류 데이터 및 전압 데이터 양자 모두가 시간의 기간에 걸쳐 수집되므로, 시간 변수를 제거하기 위해 시간을 대등하게 함 (coordinate) 으로써 전류 대 전압 그래프가 생성될 수도 있다. 즉, 수집된 전류 데이터는 수집된 전압 데이터에 대해 매칭될 수도 있다. 도 7은 RF 버스트 사이의 단일의 시간 간격에 대한 심플한 전류 대 전압 그래프를 도시한다. 데이터 포인트 (C-302) 에서, RF 충전이 제공된 이후에 RF 소스 (A-102) 가 스위치 오프되었다.
각각의 RF 버스트 동안에 수집된 데이터에 비선형 피트를 적용시킴으로써, 플라즈마 (A-106) 가 특성화될 수도 있다. 즉, 플라즈마 (A-106) 를 특성화할 수도 있는 파라미터들 (예컨대, 이온 포화, 이온 포화 기울기, 전자 온도, 플로팅 전압 전위 등) 이 결정될 수도 있다. 수집된 데이터로 플라즈마 (A-106) 가 특성화될 수도 있지만, 파라미터들을 계산하는 프로세스는 인간의 개입을 요구하는 지루한 수동적인 프로세스이다. 일례에서, RF 버스트 이후에 (즉, RF 충전이 제공되고 그 후 턴오프된 경우에) 데이터가 수집된 경우, 데이터는 소프트웨어 분석 프로그램으로 공급될 수도 있다. 소프트웨어 분석 프로그램은 비-선형 피트를 수행하여, 플라즈마를 특성화할 수도 있는 파라미터들을 결정할 수도 있다. 플라즈마를 특성화함으로써, 엔지니어는, 기판들의 열악한 프로세싱을 최소화하기 위해 레시피가 어떻게 조정될 수도 있는지를 결정하는 것이 가능할 수도 있다.
불행히도, 각각의 RF 버스트에 대해 데이터를 분석하는 종래 기술의 방법은 완료하는데 수 초 또는 수 분 만큼을 요구할 수도 있다. 통상적으로 수천 개 그렇지 않은 경우에 수만 개의 분석할 RF 버스트들이 존재하므로, 레시피에 대해 플라즈마를 특성화하기 위한 총 시간은 계산하는데 수 시간이 걸릴 수도 있다. 결과로서, 종래 기술의 방법은 프로세스 제어 목적들을 위해 관련된 데이터를 적시에 제공하는데 있어서 효과적인 방법은 아니다.
이제, 본 발명은 첨부 도면들에서 예시된 바와 같은 본 발명의 여러 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 제시된다. 그러나, 본 발명이 그 특정 세부사항들의 일부 또는 전부가 없이도 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료히 하지 않기 위해 공지의 프로세스 단계들 및/또는 구조들은 설명되지 않았다.
방법들 및 기술들을 포함하는 다양한 실시형태들이 이하 설명된다. 본 발명이 본 발명의 기술의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들을 저장한 컴퓨터 판독가능 매체를 포함하는 제조품들을 또한 커버할 수도 있다는 것을 유념해야 한다. 컴퓨터 판독가능 매체는 예컨대, 반도체, 자기, 광-자기, 광학, 또는 컴퓨터 판독가능 코드를 저장하기 위한 컴퓨터 판독가능 매체의 다른 형태들을 포함할 수도 있다. 또한, 본 발명은 본 발명의 실시형태들을 실시하기 위한 장치들을 커버할 수도 있다. 그러한 장치는, 본 발명의 실시형태들에 관련되는 태스크들을 수행하도록 전용되고/되거나 프로그래밍 가능한 회로들을 포함할 수도 있다. 그러한 장치의 예들은 적절하게 프로그래밍된 경우에 전용된 연산 디바이스 및/또는 범용 컴퓨터를 포함하고, 본 발명의 실시형태들에 관련되는 다양한 태스크들에 대해 적응된 컴퓨터/연산 디바이스 및 전용된/프로그래밍 가능한 회로들의 조합을 포함할 수도 있다.
전술된 바와 같이, 리액터 챔버 환경 내에 위치될 수도 있는 플라즈마에 관한 데이터를 수집하기 위해 PIF 프로브 방법이 채용될 수도 있다. 센서 (예컨대, PIF 프로브) 로부터 수집된 데이터는 리액터 챔버 내의 플라즈마를 특성화하기 위해 채용될 수도 있다. 또한, 센서가 도 5에서 도시된 바와 같은 수집 표면을 채용하므로, 챔버 표면에 관한 데이터가 또한 결정될 수도 있다. 종래 기술에서, PSD 프로브에 의해 수집된 데이터는 분석에 이용가능한 데이터의 준비된 소스를 제공한다. 불행히도, 수집될 수도 있는 데이터의 순수한 볼륨은 적시에 데이터를 분석하는 것을 어렵게 하였다. 수천 개 또는 심지어 수만 개의 데이터 포인트들이 수집될 수도 있으므로, 플라즈마를 정확하게 특성화하기 위해 관련 간격을 식별하는 태스크는, 특히 데이터가 일반적으로 수동으로 분석되기 때문에, 어려운 태스크일 수도 있다. 결과로서, 수집된 데이터는 플라즈마 프로세싱 시스템에 플라즈마의 적시의 특성화를 제공하는데 있어서 유용하지 않았다.
그러나, 수집될 수도 있는 수천 개/수만 개의 데이터 포인트들로부터 플라즈마를 특성화하는데 필요한 관련 데이터 포인트들이 식별되는 경우에, 플라즈마를 특성화하는데 요구되는 시간이 상당히 감소될 수도 있다. 본 발명의 실시형태들에 따르면, 비교적 단시간 기간에 플라즈마를 자동으로 특성화하기 위한 방법이 제공된다. 여기서 설명되는 본 발명의 실시형태들은 플라즈마를 특성화하기 위해 분석될 필요가 있을 수도 있는 데이터 포인트들을 감소시키기 위해, 관련성 범위를 식별하기 위한 알고리즘을 제공한다. 여기서 논의되는 바와 같이, 관련성 범위는 각각의 RF 버스트 사이에서 수집될 수도 있는 수천 개 또는 수만 개의 데이터 포인트들로부터의 데이터 포인트들의 더 작은 세트를 지칭한다. 본 발명의 실시형태들은 또한, 플라즈마를 특성화하기 위한 값들을 계산하는 수학 모델에 적용될 수도 있는 시드 값들을 추정하는 것을 제공한다. 관련성 범위에 대해 커브-피팅을 수행함으로써, 플라즈마를 특성화하기 위해 채용될 수도 있는 파라미터들이 계산될 수도 있다.
본 발명의 특징들 및 유리한 점들은 도면들 및 이어지는 논의들을 참조하여 더 이해될 수도 있다.
본 발명의 일 실시형태에서, 도 8은 기판 프로세싱 동안에 플라즈마를 자동으로 특성화하기 위한 단계들을 예시하는 심플한 플로우차트를 도시한다. 기판 프로세싱 동안에 RF 충전이 제공된 상황을 고려한다.
첫번째 단계 (D-402) 에서, 전류 및 전압 데이터가 수집된다. 일례에서, RF 소스가 턴온된 이후에, RF 충전 (펄스) 이 제공된다. RF 충전이 턴오프된 이후에, 리액터 챔버의 챔버 벽에 탑재될 수도 있는 평면 이온 플럭스 프로브와 같은 프로브에서 데이터를 수집하기 위해 전류 센서 및 전압 센서가 채용될 수도 있다. 전술된 바와 같이, 센서들에 의해 수집될 수도 있는 데이터 포인트들의 수는 수천 개 또는 수만 개의 범위일 수도 있다. 몇몇 경우들에서, 각각의 RF 버스트 사이에서 수천 개 내지 수만 개의 데이터 포인트들이 수집되어, 종래 기술에서 거의 실시간의 분석을 거의 불가능하게 할 수도 있다.
종래 기술에서, 반도체 기판 프로세싱 동안에 수집되는 측정 데이터를 분석하기 위해 수 시간이 할당될 수도 있다. 본 발명의 일 양태에서, 본원의 본 발명자들은 플라즈마를 특성화하기 위해 각각의 RF 버스트 사이의 측정 데이터가 분석될 필요는 없다는 것을 인식하였다. 대신에, 데이터 세트의 관련성 범위에 커브-피팅이 적용되는 경우에, 플라즈마를 특성화하기 위해 채용될 수도 있는 파라미터들이 결정될 수도 있다.
다음의 단계 (D-404) 에서, 관련성 범위가 결정된다. 전술된 바와 같이, 관련성 범위는 각각의 RF 버스트 사이에서 수집된 데이터 세트의 서브세트를 지칭한다. 종래 기술에서, 데이터가 수동으로 분석되기 때문에, 수집된 데이터의 순수한 볼륨이 관련성 범위를 계산하는 것을 어려운 태스크로 만든다. 다수의 경우들에서, 관련성 범위는 시각적으로 추정될 수도 있다. 관련성 범위를 식별하는데 있어서, 존재할 수도 있는 노이즈들이 데이터 세트의 서브세트로부터 실질적으로 제거될 수도 있다. 일례에서, 복잡한 기판 프로세싱 동안에, 프로브 상에서 폴리머 축적이 발생하여 수집된 데이터의 일부가 왜곡되게 할 수도 있다. 예컨대, 영향을 받을 수도 있는 데이터의 부분은 캐패시터가 완전히 방전되었을 때 수집될 수도 있는 데이터인 경향이 있다. 관련성 범위를 식별하는데 있어서, 폴리머 축적과 연관된 데이터는 분석으로부터 제거될 수도 있다. 즉, 관련성 범위의 결정은 랜덤 노이즈들을 경험하지 않으면서 플라즈마 특성화가 발생하는 것을 가능하게 할 수도 있다. 예컨대, 관련성 범위가 어떻게 결정될 수도 있는지에 관한 논의는 이후 도 9의 논의에서 제공된다.
관련성 범위를 식별하는 것에 추가하여, 다음의 단계 (D-406) 에서, 시드 값들이 또한 결정될 수도 있다. 여기서 논의되는 바와 같이, 시드 값들은 기울기의 추정된 값, 전자 온도, 이온 포화 값, 플로팅 전압 전위 등을 지칭한다. 예컨대, 시드 값들이 어떻게 추정될 수도 있는지에 관한 논의는 도 9의 논의에서 제공된다.
관련성 범위 및 시드 값들은 커브-피팅을 수행하기 위해 이용된다. 커브-피팅이 다음의 RF 버스트 이전에 수행되어야만 하므로, 관련성 범위 및/또는 시드 값들을 결정하기 위해 채용된 방법들은 최소의 오버헤드를 이용하고 최종의 피트 값들에 근접한 값들을 생성해야만 하며, 그에 의해, 신속한 수렴을 달성하기 위해 요구될 수도 있는 커브-피팅 반복들의 수를 감소시킨다.
다음의 단계 (D-408) 에서, 관련성 범위 및 시드 값들을 이용하여, 비선형 피트 (예컨대, 커브-피팅) 가 수행될 수도 있으며, 그에 의해, 고가의 하이-엔드 컴퓨터를 요구하지 않으면서 더 짧은 시간 기간 내에 플라즈마가 특성화될 수 있게 한다. 종래 기술과 다르게, 방법은 단일의 RF 버스트로 인한 감쇄 간격으로부터의 결과들이, 프로세싱하는데 수 분 또는 심지어 수 시간을 요구하는 대신에 대략 20 밀리초에서 단일의 RF 버스트가 특성화되게 허용한다. 비-실시간 분석 능력으로, 방법은 플라즈마 프로세싱 동안에 엔지니어에게 관련성 데이터를 제공하기 위한 자동 제어 시스템의 일부로서 적용될 수도 있다.
도 9는, 본 발명의 일 실시형태에서, 관련성 범위 및 시드 값들을 결정하기 위한 심플한 알고리즘을 도시한다. 도 9는 도 10a, 도 10b, 도 10c, 및 도 10d에 관하여 논의될 것이다.
첫번째 단계 (E-502) 에서, 각각의 RF 버스트 동안에 수집된 데이터가 자동으로 플롯된다. 일례에서, 전류 센서에 의해 수집될 수도 있는 전류 데이터는 도 10a에서 도시된 것과 같은 전류 대 시간 그래프 (F1-600) 로 플롯된다. 다른 예에서, 도 10b에서 도시된 바와 같이, 수집된 전압 데이터는 전압 대 시간 그래프 (F2-650) 로 플롯될 수도 있다. 데이터가 종래 기술과 유사한 그래프들을 생성할 수도 있지만, 종래 기술과 다르게, 수집된 데이터는 인간의 개입을 요구하지 않으면서 분석 프로그램으로 자동으로 공급된다. 다르게는, 수집된 측정 데이터가 플롯되지 않아도 된다. 대신에, 데이터가 분석 프로그램으로 직접적으로 공급될 수도 있다. 대신에, 알고리즘을 설명하기 위한 시각적 예들로서 그래프들이 제공된다.
종래 기술과 다르게, 플라즈마를 특성화하기 위해 전체 데이터 세트가 분석되지 않는다. 대신, 관련성 범위가 결정된다. 관련성 범위를 결정하기 위해, 다음의 단계 (E-504) 에서, 퍼센트 감쇄 포인트가 먼저 결정될 수도 있다. 여기서 논의되는 바와 같이, 퍼센트 감쇄 포인트는 오리지널 값이 오리지널 값의 특정 퍼센트까지 감쇄된 데이터 포인트를 지칭한다. 일 실시형태에서, 퍼센트 감쇄 포인트는 분석될 데이터 간격의 종료를 나타낼 수도 있다. 일례에서, RF 소스가 스위치 오프되는 경우에, 전류 값은 약 0.86 mA/cm2 이다. 그 값은 도 10a의 그래프 (F1-600) 상의 데이터 포인트 (F1-602) 에 의해 나타내어 진다. 퍼센트 감쇄 포인트가 오리지널 값의 10 퍼센트로 세팅되는 경우에, 퍼센트 감쇄 포인트는 약 0.086 mA/cm2 인 데이터 포인트 (F1-604) 이다. 즉, 퍼센트 감쇄 포인트는 오리지널 값에 미리-정의된 퍼센트를 적용함으로써 결정될 수도 있으며, 이는 RF 소스가 스위치 오프되고 시스템이 평형 상태로 리턴하고 있는 경우의 전기 충전의 값이다. 일 실시형태에서, 퍼센트는 경험적으로 결정된다. 일 실시형태에서, 데이터 간격의 종료를 결정하기 위해 퍼센트 감쇄 포인트를 채용하는 대신에, 각각의 RF 버스트에 대해 수집된 데이터의 1차 도함수의 피크가 계산될 수도 있다.
다음의 단계 (E-506) 에서, 알고리즘은, 오리지널 값과 제 2 감쇄 포인트 사이의 데이터 서브세트인 이온 포화 간격을 결정할 수도 있다. 여기서 논의되는 바와 같이, 이온 포화 간격은, 프로브로의 전자 플럭스가 무시가능하도록 플로팅 전위에 대하여 프로브 전위가 충분히 음인 전류-전압 (IV) 커브의 영역을 지칭한다. 이 영역에서, 프로브로의 전류는 음의 전위가 증가하면서 천천히 그리고 선형으로 증가한다. 또한, 이온 포화 간격은, 프로브가 시스템에서 모든 이용가능한 이온들을 수집하도록 플로팅 전위에 대하여 바이어스 전압이 충분히 음인 체제이다. 즉, 수집된 전류는 바이어스 전압이 충분히 높게 상승됨에 따라 "포화" 된다. 또한, 여기서 논의되는 바와 같이, "이용가능한 이온들" 은, 바이어스 전압이 더 증가됨에 따라 확대될 수도 있는 외벽 경계 (sheath boundary) 에 충돌하는 이온들의 플럭스를 지칭한다.
즉, 이온 포화 간격은 도 10a의 데이터 포인트들 (F1-602 및 F1-606) 로부터의 간격이다. 일 실시형태에서, 제 2 감쇄 포인트는 오리지널 값 (즉, 데이터 포인트 (F1-602)) 의 퍼센트를 취함으로써 결정될 수도 있다. 일례에서, 제 2 감쇄 포인트가 오리지널 값의 약 95 퍼센트인 경우에, 제 2 감쇄 포인트는 약 0.81 mA/cm2 (즉, 데이터 포인트 (F1-606)) 이다. 따라서, 이온 포화 간격은 오리지널 값 (데이터 포인트 (F1-602)) 으로부터 제 2 감쇄 포인트 (데이터 포인트 (F1-606)) 까지이다. 제 2 감쇄 포인트는 오리지널 값 (데이터 포인트 (F1-602)) 와 퍼센트 감쇄 포인트 (데이터 포인트 (F1-604)) 사이에 있다는 것을 주의한다. 퍼센트 감쇄 포인트와 유사하게, 일 실시형태에서, 제 2 감쇄 포인트는 또한 미리-정의된 임계치에 기초할 수도 있다. 일 실시형태에서, 퍼센트는 경험적으로 결정된다.
이온 포화 간격이 결정되면, 다음의 단계 (E-508) 에서, 기울기 (S) 및 이온 포화 (i0) 가 추정될 수도 있다. 전술된 바와 같이, 기울기 (S) 및 이온 포화 (i0) 는 플라즈마를 특성화하는 파라미터들을 결정하기 위한 수학 모델 (아래의 수식 2) 에 적용될 수도 있는 4 개의 시드 값들 중 2 개이다. 일례에서, 기울기 (S) 는 선형 회귀 (linear regression) 를 수행함으로써 결정될 수도 있다. 다른 실시형태에서, 알고리즘은 또한, 데이터 포인트들 (F1-602 및 F1-606) 사이의 데이터 값들의 평균을 취함으로써 이온 포화 (i0) 를 결정할 수도 있다.
다음의 단계 (E-510) 에서, 알고리즘은, 1차 도함수가 부호를 변경하는 포인트인 변곡 포인트를 결정할 수도 있다. 일 실시형태에서, 변곡 포인트는 퍼센트 감쇄 포인트와 제 2 감쇄 포인트 사이의 전류 값들의 1차 도함수의 최소의 값을 식별함으로써 계산될 수도 있다. 예시를 위해, 도 10c는 전류 신호 (F3-660) 의 오리지널 포인트 (F3-662) 와 퍼센트 감쇄 포인트 (F3-664) 사이의 값들의 1차 도함수를 도시한다. 변곡 포인트는, (데이터 포인트 (F3-666) 에 의해 도시된 바와 같은) -0.012 mA/cm2 의 값 및 226 의 인덱스 값을 갖는 1차 도함수 (F3-670) 의 최소의 데이터 포인트이다. 변곡 값을 결정하기 위해, 인덱스 값은 전류 신호 플롯 (F3-660) 으로 매핑된다. 이 예에서, 1차 도함수의 인덱스 값이 전류 신호 (F3-660) 로 매핑되는 경우에, 데이터 포인트 (F3-668) 에 의해 도시되는 바와 같이, 변곡 값은 0.4714 mA/cm2 이다.
일 실시형태에서, 관련성 범위는 오리지널 값과 변곡 포인트 사이의 범위로서 정의된다. 또한 또는 다르게는, 변곡 포인트를 계산하는 대신에 퍼센트 감쇄 임계치가 (예컨대, 35 퍼센트에서) 세팅될 수도 있다. 일례에서, 경험적으로 결정될 수도 있는 35 퍼센트의 퍼센트 감쇄 포인트를 사용하면, 관련성 범위는 도 10a의 포인트들 (F1-602 및 F1-604) 사이에 속할 수도 있다.
[수식 1]
Figure 112011001186631-pct00001
[테이블 1]
Figure 112011001186631-pct00002
식별된 변곡 포인트로, 다음의 단계 (E-512) 에서, 전자 온도가 추정될 수도 있다. 전자 온도는 상기 수식 1 을 채용함으로써 추정될 수도 있다. 전자 온도를 계산하기 위해 이용되는 전류 및 전압 데이터는, 일반적으로 프로브가 이온 포화 전류보다 더 적은 전류를 드로잉하는 천이 간격 내에 있다. 일 실시형태에서, 전류 및 전압 데이터가 측정되는 시간은 변곡 포인트에 대응할 수도 있다. 다르게는, 전류-전압 (I-V) 커브의 변곡 포인트가 또한 채용될 수도 있다. 전자 온도가 전류-전압 커브 상의 변곡 포인트에 대응하는 시간에서 RF 버스트에 대해 수집된 데이터의 (퍼센트 감쇄 포인트를 계산하는데 있어서 결정된 바와 같은) 1차 도함수의 비율이므로, 그 수를 생성하기 위해 요구될 수도 있는 연산 오버헤드는 최소이다.
다음의 단계 (E-514) 에서, 알고리즘은 플로팅 전압 전위를 결정할 수도 있다. 수집된 전압 데이터에 기초하여 플로팅 전압 전위가 결정되므로, 플로팅 전압 전위는 먼저 단계들 (E-504 내지 E-512) 에서 계산된 바와 같은 값들을 결정할 필요 없이 결정될 수도 있다. 당업자는 플로팅 전압 전위가 외부 캐패시터가 완전히 방전된 이후에 프로브가 플로팅하는 전기 전위라는 것을 인지한다. 통상적으로, 플로팅 전압 전위는 다음의 RF 버스트 직전에 발생하는 신호를 주시함으로써 결정될 수도 있다. 그러나, 왜곡을 발생시키는 폴리머 축적의 가능성으로 인해, 에러 데이터 (즉, 노이즈) 가 수집될 수도 있으며; 따라서, 플로팅 전압 전위는 수집 기간의 종료에 가깝게 수집된 전압 값들을 평균함으로써 계산될 수도 있다. 일 실시형태에서, 플로팅 전압 전위는 도 10b에서 도시된 바와 같이 데이터 포인트 (F2-652) (전압이 그것의 플로팅 전위에 처음으로 도달하는 데이터 포인트) 로부터 데이터 포인트 (F2-654) (다음의 RF 버스트 직전의 데이터 포인트) 까지 계산될 수도 있다. 다른 실시형태에서, 플로팅 전압 전위는 도 10b에서 도시된 바와 같이 데이터 포인트들 (F2-652 및 F2-654) 사이에 위치된 윈도우 (F2-656) 내의 전압 값들에 기초할 수도 있다. 일 실시형태에서, 윈도우 (F2-656) 는, 윈도우가 이전의 펄스가 99 퍼센트보다 더 많이 감쇄되기 이전에 시작하고 다음의 펄스가 시작할 때 종료하는 한 임의의 사이즈로 이루어질 수도 있다. 일 실시형태에서, 플로팅 전압 전위는 저 표준 편차 (에러) 를 갖는 평균 값을 제공하는 윈도우로부터 결정될 수도 있다.
전술된 바로부터 인식될 수 있는 바와 같이, 관련성 범위 및 시드 값들을 결정하기 위한 방법들은 전류, 전압, 및/또는 전류-전압 (I-V) 커브들에서 발생할 수도 있는 예외들을 설명한다. 일례에서, RF 버스트의 종료에서 폴리머 축적이 발생할 수도 있다. 그러나, 전술된 알고리즘들을 적용함으로써, 관련성 범위 및 시드 값들은 프로세싱 동안에 발생할 수도 있는 예상되지 않은 아티팩트들에 영향을 받지 않는다.
관련성 범위가 결정되고 시드 값들이 계산되면, 다음의 단계 (E-516) 에서, 전류 값들이 전압 값들에 대해 플롯될 수도 있고 도 10d의 그래프 (F4-680) 를 생성하기 위해 커브-피팅이 적용될 수도 있다. 일례에서, 커브-피팅을 수행하기 위해 레벤베르그-마르콰르츠 (Levenberg-Marquardt) 알고리즘과 같은 비선형 커브 피트가 적용될 수도 있다. 커브-피팅 그래프를 생성하고 이하의 수식 2 와 같은 수학 모델에 시드 값들을 적용함으로써, 플라즈마를 특성화하기 위해 채용될 수도 있는 4 개의 파라미터들이 결정될 수도 있다.
[수식 2]
Figure 112011001186631-pct00003
[테이블 2]
Figure 112011001186631-pct00004
본 발명의 하나 이상의 실시형태들로부터 인식될 수 있는 바와 같이, 플라즈마 프로세싱 동안에 플라즈마를 특성화하기 위한 자동화된 방법이 제공된다. 관련성 범위 및 시드 값들의 세트를 결정함으로써, 단일의 RF 버스트 이후에 일반적으로 수집되는 수천 개 또는 수만 개의 데이터 포인트들을 프로세싱할 필요 없이 플라즈마 특성화가 발생할 수도 있다. 자동화된 방법은 이전의 지루하고 수동적인 프로세스를 신속하고 효율적으로 수행될 수도 있는 자동 태스크로 변형시킨다. 수 분 (또는 심지어 수 시간) 으로부터 수 밀리초로 상당히 단축된 데이터 분석으로, 생산-후 프로세스 대신에 플라즈마 프로세싱 동안에 플라즈마 특성화가 수행될 수도 있다. 따라서, 관련 데이터가 전류 플라즈마 환경에 통찰력들을 제공할 수도 있으며, 그에 의해, 레시피 및/또는 툴 조정이 발생할 수 있게 하고 낭비가 최소화될 수 있게 한다.
본 발명이 여러 바람직한 실시형태들에 관하여 설명되었지만, 본 발명의 범위 내에 속하는 변형들, 치환들, 및 등가들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 다수의 다른 방법들이 존재한다는 것이 주의되어야 한다. 여기서 다양한 예들이 제공되지만, 이들 예들은 예시적이고 본 발명에 대하여 한정하지 않도록 의도된다.
또한, 발명의 명칭 및 개요는 여기서 편의를 위해 제공되고, 여기에서의 청구의 범위를 해석하는데 사용되서는 안된다. 또한, 요약은 매우 축약된 형태로 기재되며, 따라서, 청구의 범위에서 나타내어 지는 전체 발명을 해석하거나 또는 한정하기 위해 채용되서는 안된다. 여기서 "세트" 라는 용어가 채용되는 경우에, 그러한 용어는 0, 1, 또는 1 보다 더 많은 멤버를 커버하기 위해 그 통상적으로 이해되는 수학적인 의미를 갖도록 의도된다. 또한, 다음의 첨부된 청구항들은 본 발명의 진정한 사상 및 범위 내에 속하는 모든 그러한 변형들, 치환들, 및 등가들을 포함하는 것으로서 해석되도록 의도된다.

Claims (20)

  1. 기판 프로세싱 동안에 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 플라즈마 불안정성을 검출하기 위한 장치로서,
    상기 프로세싱 챔버의 표면 상에 배치되고, 적어도 하나의 플라즈마 프로세싱 파라미터를 측정하도록 구성된 프로브 장치; 및
    검출 장치를 포함하며,
    상기 프로브 장치는,
    플라즈마를 향하는 센서; 및
    측정 캐패시터를 포함하고,
    상기 플라즈마를 향하는 센서는 상기 측정 캐패시터의 제 1 플레이트에 결합되고,
    상기 검출 장치는 상기 측정 캐패시터의 제 2 플레이트에 결합되고,
    상기 검출 장치는 유도 전류 신호의 세트를 수집하고, 상기 유도 전류 신호의 세트를 디지털 신호들의 세트로 변환하도록 구성되고,
    상기 유도 전류 신호의 세트는 상기 프로세싱 챔버 내의 플라즈마에 의해 상기 측정 캐패시터를 통해 흐르도록 유도된 전류에 대응하고,
    상기 디지털 신호들의 세트는 상기 플라즈마 불안정성을 검출하기 위해 프로세싱되는, 플라즈마 불안정성을 검출하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 플라즈마를 향하는 센서는 상부 전극에 배치되는, 플라즈마 불안정성을 검출하기 위한 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마를 향하는 센서는 상기 프로세싱 챔버의 챔버 벽 상에 배치되는, 플라즈마 불안정성을 검출하기 위한 장치.
  4. 제 1 항에 있어서,
    상기 플라즈마를 향하는 센서는 도전성 재료로 이루어지는, 플라즈마 불안정성을 검출하기 위한 장치.
  5. 제 1 항에 있어서,
    상기 플라즈마를 향하는 센서는 용량성 결합된 정전식 (CCE) 프로브 헤드인, 플라즈마 불안정성을 검출하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 검출 장치는,
    적어도, 상기 유도 전류 신호의 세트를 아날로그 전압 신호들의 세트로 변환하도록 구성된 전류-전압 변환기;
    적어도, 상기 아날로그 전압 신호들의 세트를 상기 디지털 신호들의 세트로 변환하도록 구성된 아날로그-디지털 변환기; 및
    적어도, 상기 디지털 신호들의 세트를 프로세싱하여, 상기 플라즈마 불안정성을 나타내는 고주파수 섭동들을 검출하도록 구성된 신호 프로세서를 포함하는, 플라즈마 불안정성을 검출하기 위한 장치.
  7. 제 1 항에 있어서,
    상기 플라즈마 불안정성이 검출되는 경우에, 상기 검출 장치로부터 메시지를 수신하도록 구성된 툴 제어 회로를 더 포함하며,
    상기 툴 제어 회로는 보정 액션들 (correction actions) 을 적용하여 상기 플라즈마 불안정성을 해소 (fix) 하도록 구성되는, 플라즈마 불안정성을 검출하기 위한 장치.
  8. 제 1 항에 있어서,
    상기 측정 캐패시터의 상기 제 1 플레이트에 결합된 전압 측정 디바이스를 더 포함하며,
    상기 전압 측정 디바이스는 적어도, 전압 측정치들을 수집하고, 상기 플라즈마를 향하는 센서의 전위 변화를 측정하도록 구성되는, 플라즈마 불안정성을 검출하기 위한 장치.
  9. 제 8 항에 있어서,
    상기 전압 측정 디바이스에 의해 수집된 전압 측정치들은 상기 플라즈마 불안정성을 검출하기 위한 신호 프로세싱을 위해 디지털 신호로 변환되는, 플라즈마 불안정성을 검출하기 위한 장치.
  10. 제 1 항에 있어서,
    상기 측정 캐패시터의 상기 제 2 플레이트에 결합된 변압기를 더 포함하며,
    상기 변압기는 적어도, 상기 유도 전류 신호의 세트에서의 섭동들을 식별하도록 구성되는, 플라즈마 불안정성을 검출하기 위한 장치.
  11. 기판 프로세싱 동안에 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 플라즈마 불안정성을 검출하기 위한 방법으로서,
    유도 전류 신호들의 세트를 포함하는 프로세스 데이터의 세트를 수집하는 단계;
    상기 유도 전류 신호들의 세트를 아날로그 전압 신호들의 세트로 변환하는 단계;
    상기 아날로그 전압 신호들의 세트를 디지털 신호들의 세트로 변환하는 단계; 및
    상기 디지털 신호들의 세트를 분석하여, 상기 플라즈마 불안정성을 나타내는 고주파수 섭동들을 검출하는 단계를 포함하고,
    상기 유도 전류 신호들의 세트는 상기 프로세싱 챔버 내의 플라즈마에 의해 측정 캐패시터를 통해 흐르도록 유도된 전류에 대응하고,
    상기 측정 캐패시터의 제 1 플레이트는 플라즈마를 향하는 센서에 연결되며, 상기 유도 전류 신호들은 상기 측정 캐패시터의 제 2 플레이트에서 측정되는, 플라즈마 불안정성을 검출하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 유도 전류 신호들의 변화율을 미리 정의된 임계치와 비교하는 단계를 더 포함하며,
    상기 변화율이 상기 미리 정의된 임계치 밖에 있는 경우에 상기 플라즈마 불안정성이 존재하는, 플라즈마 불안정성을 검출하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 플라즈마 불안정성이 검출되는 경우에, 툴 제어기 회로에 메시지를 전송하는 단계를 더 포함하며,
    상기 툴 제어 회로는 보정 액션들을 적용하여 상기 플라즈마 불안정성을 해소하도록 구성되는, 플라즈마 불안정성을 검출하기 위한 방법.
  14. 제 11 항에 있어서,
    플라즈마를 향하는 센서로부터 전압 측정 데이터를 수집하여 프로세싱 동안에 전위 변화를 결정하는 단계를 더 포함하는, 플라즈마 불안정성을 검출하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 전압 측정 데이터를 디지털 신호들의 세트로 변환하는 단계를 더 포함하는, 플라즈마 불안정성을 검출하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 디지털 신호들의 세트를 분석하여 상기 플라즈마 불안정성을 나타내는 상기 고주파수 섭동들을 검출하는 단계를 더 포함하는, 플라즈마 불안정성을 검출하기 위한 방법.
  17. 제 16 항에 있어서,
    전압 신호들의 변화율을 미리 정의된 임계치와 비교하는 단계를 더 포함하며,
    상기 변화율이 상기 미리 정의된 임계치 밖에 있는 경우에, 상기 플라즈마 불안정성이 존재하는, 플라즈마 불안정성을 검출하기 위한 방법.
  18. 제 11 항에 있어서,
    주기적인 무선 주파수 (RF) 펄스 트레인들의 세트를 상기 측정 캐패시터에 인가하고, 상기 측정 캐패시터의 전류 감쇄 신호들을 측정하는 단계를 더 포함하는, 플라즈마 불안정성을 검출하기 위한 방법.
  19. 제 18 항에 있어서,
    아크 검출 알고리즘을 적용하여, 상기 전류 감쇄 신호들에 대해 미리 결정된 임계치를 상회하는 상기 고주파수 섭동들을 검출하는 단계를 더 포함하는, 플라즈마 불안정성을 검출하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 전류 감쇄 신호들의 변화율을 미리 정의된 임계치와 비교하는 단계를 더 포함하며,
    상기 변화율이 상기 미리 정의된 임계치 밖에 있는 경우에, 상기 플라즈마 불안정성이 존재하는, 플라즈마 불안정성을 검출하기 위한 방법.
KR1020117000365A 2008-07-07 2009-07-07 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치 KR101606736B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7874708P 2008-07-07 2008-07-07
US61/078,747 2008-07-07
PCT/US2009/049761 WO2010005933A2 (en) 2008-07-07 2009-07-07 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20110039240A KR20110039240A (ko) 2011-04-15
KR101606736B1 true KR101606736B1 (ko) 2016-03-28

Family

ID=41507686

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000365A KR101606736B1 (ko) 2008-07-07 2009-07-07 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치

Country Status (6)

Country Link
US (2) US8179152B2 (ko)
JP (1) JP5734185B2 (ko)
KR (1) KR101606736B1 (ko)
CN (1) CN102084471B (ko)
TW (1) TWI475592B (ko)
WO (1) WO2010005933A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
CN102084473B (zh) 2008-07-07 2014-10-22 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP5459907B2 (ja) * 2010-01-27 2014-04-02 東京エレクトロン株式会社 基板載置装置の評価装置、及びその評価方法、並びにそれに用いる評価用基板
US8548312B2 (en) * 2010-02-19 2013-10-01 Applied Materials, Inc. High efficiency high accuracy heater driver
CN102209425B (zh) * 2011-01-08 2012-07-18 大连理工大学 一种射频放电等离子体诊断装置
CN102156001A (zh) * 2011-03-17 2011-08-17 大连理工大学 一种射频放电等离子体自偏置探针诊断方法
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
EP3035365A1 (en) * 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
CN110463353B (zh) * 2017-04-04 2022-01-11 株式会社富士 等离子体产生装置
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
US11153960B1 (en) * 2018-06-08 2021-10-19 Innoveering, LLC Plasma-based electro-optical sensing and methods
US11581206B2 (en) * 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
WO2022173720A1 (en) * 2021-02-09 2022-08-18 Fuse Energy Technologies Corp. Adjustable probe for plasma diagnostics

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2002A (en) * 1841-03-12 Tor and planter for plowing
US1000000A (en) * 1910-04-25 1911-08-08 Francis H Holton Vehicle-tire.
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JP2859308B2 (ja) 1989-08-02 1999-02-17 三井化学株式会社 プラズマパラメーターの測定方法
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP3292531B2 (ja) 1993-01-15 2002-06-17 忠弘 大見 高周波励起プラズマの計測装置
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
JPH1027778A (ja) * 1996-07-09 1998-01-27 Komatsu Ltd 表面処理装置及びこれに用いられるノズル
US5985092A (en) 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6326794B1 (en) 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6176930B1 (en) 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
JP2000268993A (ja) * 1999-03-15 2000-09-29 Toshiba Corp プラズマ計測用プローブ,プラズマ計測装置及びプラズマ生成装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4554037B2 (ja) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 消耗品の消耗度予測方法及び堆積膜厚の予測方法
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
US20040028810A1 (en) 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
WO2003083911A1 (en) * 2002-03-28 2003-10-09 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US6926803B2 (en) 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (ja) * 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6953936B2 (en) 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
AU2003247538A1 (en) 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040126492A1 (en) 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7691243B2 (en) 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
JP2006093342A (ja) * 2004-09-22 2006-04-06 Asm Japan Kk Dcバイアス電圧測定回路及びそれを含むプラズマcvd処理装置
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
DE602006008780D1 (de) * 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
JP2007037817A (ja) 2005-08-04 2007-02-15 Matsushita Electric Ind Co Ltd 電気掃除機用集塵袋及び電気掃除機
KR20070035346A (ko) * 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
WO2009076568A2 (en) 2007-12-13 2009-06-18 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
CN102084473B (zh) * 2008-07-07 2014-10-22 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Also Published As

Publication number Publication date
WO2010005933A2 (en) 2010-01-14
US9153421B2 (en) 2015-10-06
US20120316834A1 (en) 2012-12-13
TWI475592B (zh) 2015-03-01
US20100033195A1 (en) 2010-02-11
CN102084471B (zh) 2012-11-28
JP5734185B2 (ja) 2015-06-17
TW201009881A (en) 2010-03-01
US8179152B2 (en) 2012-05-15
JP2011527508A (ja) 2011-10-27
CN102084471A (zh) 2011-06-01
WO2010005933A3 (en) 2010-05-06
KR20110039240A (ko) 2011-04-15

Similar Documents

Publication Publication Date Title
KR101606736B1 (ko) 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
KR101606734B1 (ko) 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
US8780522B2 (en) Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
JP5427888B2 (ja) プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
US8164353B2 (en) RF-biased capacitively-coupled electrostatic (RFB-CCE) probe arrangement for characterizing a film in a plasma processing chamber
KR101606732B1 (ko) 플라즈마를 자동으로 특성화하기 위한 방법
US20080283088A1 (en) Plasma processing apparatus, plasma processing method and cleaning time prediction program

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190313

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200311

Year of fee payment: 5