JP2004533118A - 低温搬入出およびベーク - Google Patents
低温搬入出およびベーク Download PDFInfo
- Publication number
- JP2004533118A JP2004533118A JP2003500951A JP2003500951A JP2004533118A JP 2004533118 A JP2004533118 A JP 2004533118A JP 2003500951 A JP2003500951 A JP 2003500951A JP 2003500951 A JP2003500951 A JP 2003500951A JP 2004533118 A JP2004533118 A JP 2004533118A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- temperature
- reaction chamber
- silicon
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 claims abstract description 163
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 86
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 86
- 239000010703 silicon Substances 0.000 claims abstract description 86
- 230000008569 process Effects 0.000 claims abstract description 84
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims abstract description 83
- 238000004140 cleaning Methods 0.000 claims abstract description 65
- 230000008021 deposition Effects 0.000 claims abstract description 45
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims abstract description 38
- 239000004065 semiconductor Substances 0.000 claims abstract description 38
- 239000000758 substrate Substances 0.000 claims description 151
- 238000006243 chemical reaction Methods 0.000 claims description 63
- 238000000151 deposition Methods 0.000 claims description 59
- 239000007789 gas Substances 0.000 claims description 54
- 238000005229 chemical vapour deposition Methods 0.000 claims description 36
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 32
- 238000010438 heat treatment Methods 0.000 claims description 29
- 239000001257 hydrogen Substances 0.000 claims description 29
- 229910052739 hydrogen Inorganic materials 0.000 claims description 29
- 230000001965 increasing effect Effects 0.000 claims description 21
- 229910045601 alloy Inorganic materials 0.000 claims description 11
- 239000000956 alloy Substances 0.000 claims description 11
- 230000000087 stabilizing effect Effects 0.000 claims description 10
- 150000004820 halides Chemical class 0.000 claims description 8
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 5
- 239000011737 fluorine Substances 0.000 claims description 5
- 239000003638 chemical reducing agent Substances 0.000 claims description 4
- 238000012546 transfer Methods 0.000 claims description 4
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims description 3
- 238000000407 epitaxy Methods 0.000 claims description 2
- 239000012530 fluid Substances 0.000 claims description 2
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 2
- 230000003247 decreasing effect Effects 0.000 claims 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims 1
- 238000011065 in-situ storage Methods 0.000 abstract description 15
- 239000013078 crystal Substances 0.000 abstract description 12
- 239000012535 impurity Substances 0.000 abstract description 6
- 235000012431 wafers Nutrition 0.000 description 151
- 239000010410 layer Substances 0.000 description 76
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 44
- 238000012545 processing Methods 0.000 description 33
- 238000005530 etching Methods 0.000 description 24
- 241000894007 species Species 0.000 description 19
- 229910052732 germanium Inorganic materials 0.000 description 18
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 18
- 239000000376 reactant Substances 0.000 description 18
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 17
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 14
- 238000011109 contamination Methods 0.000 description 14
- 238000010926 purge Methods 0.000 description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 10
- 229910052799 carbon Inorganic materials 0.000 description 10
- 239000002245 particle Substances 0.000 description 10
- 239000000243 solution Substances 0.000 description 10
- 230000015572 biosynthetic process Effects 0.000 description 9
- 239000000356 contaminant Substances 0.000 description 9
- 239000007788 liquid Substances 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 7
- 238000001035 drying Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 229910021642 ultra pure water Inorganic materials 0.000 description 7
- 239000012498 ultrapure water Substances 0.000 description 7
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 6
- 239000000908 ammonium hydroxide Substances 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 239000010453 quartz Substances 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 230000001627 detrimental effect Effects 0.000 description 4
- 238000011066 ex-situ storage Methods 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000002093 peripheral effect Effects 0.000 description 4
- 238000004886 process control Methods 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- 241000239290 Araneae Species 0.000 description 3
- 230000003749 cleanliness Effects 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 230000001276 controlling effect Effects 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 3
- 229910001873 dinitrogen Inorganic materials 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 230000001681 protective effect Effects 0.000 description 3
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 3
- 239000005052 trichlorosilane Substances 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical group N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 241000233805 Phoenix Species 0.000 description 2
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 230000003446 memory effect Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- VDRSDNINOSAWIV-UHFFFAOYSA-N [F].[Si] Chemical compound [F].[Si] VDRSDNINOSAWIV-UHFFFAOYSA-N 0.000 description 1
- 238000010306 acid treatment Methods 0.000 description 1
- 229910052784 alkaline earth metal Inorganic materials 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- -1 but not limited to Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000013065 commercial product Substances 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 230000003090 exacerbative effect Effects 0.000 description 1
- 239000002360 explosive Substances 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 239000003517 fume Substances 0.000 description 1
- 230000008570 general process Effects 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 231100001261 hazardous Toxicity 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000001534 heteroepitaxy Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000003134 recirculating effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000006903 response to temperature Effects 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000010301 surface-oxidation reaction Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0209—Pretreatment of the material to be coated by heating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/18—Epitaxial-layer growth characterised by the substrate
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/16—Oxides
- C30B29/22—Complex oxides
- C30B29/30—Niobates; Vanadates; Tantalates
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B31/00—Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02052—Wet cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10T117/10—Apparatus
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10T117/10—Apparatus
- Y10T117/1004—Apparatus with means for measuring, testing, or sensing
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10T117/10—Apparatus
- Y10T117/1004—Apparatus with means for measuring, testing, or sensing
- Y10T117/1008—Apparatus with means for measuring, testing, or sensing with responsive control means
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
【0001】
発明の分野
本発明は、層を上に形成する前に半導体基板を洗浄することに関し、特に枚葉式の化学気相成長(CVD)または原子層堆積(ALD)チャンバ内におけるベークステップおよび次のインサイチューエピタキシャル成長に関する。
【背景技術】
【0002】
発明の背景
リアクタと呼ばれる高温炉を用いて、非常に精密な寸法の構造体、例えば、半導体基板上の集積回路を作り出す。1枚またはそれ以上の基板、例えばシリコンウェハ(その上または内部に予め形成された構造体を含める場合もあるしまた含めない場合もある)を、反応チャンバ内のウェハ支持物上に配置する。ウェハと支持物の両方を所望の温度に加熱する。典型的なウェハ処理ステップでは、加熱済みのウェハ上に反応物ガスを通過させて、ウェハ上に、反応物材料から薄層を化学気相成長(CVD)させる。種々のプロセス条件、特に温度の均一性および反応物ガスの分布は、結果として生じる層の高品質を保証するために、注意深く制御しなければならない。
【0003】
一連の堆積、ドーピング、フォトリソグラフィーおよびエッチングステップによって、出発基板および次の層を集積回路に加工し、単一の層が、ウェハのサイズおよび回路の複雑さにより異なるが、何十から何千、何百万もの集積素子をもたらす。
【0004】
半導体産業では、バッチ式プロセッサが、従来使用されており、複数のウェハを同時に処理可能であり、それにより、ウェハ1枚当たりの処理時間およびコストが削減される。しかしながら、小型化およびそれに伴う回路密度における最近の進歩は、半導体加工における欠陥に対する公差を低下させた。従って、枚葉式処理リアクタが、堆積条件の制御を改善するため開発されてきた。
【0005】
他のプロセスパラメータの中では、枚葉式加工によって、ウェハ上における温度およびガス流の分配が大きく改善された。しかしながら、より入念なプロセス制御と引き替えに、バッチ式システムの場合よりも、加工時間が、一層重要になってきた。同じ枚葉式加工チャンバを通って、一度に一枚、連続して処理されているウェハの枚数を掛けた秒数を、処理時間に足さなければならない。反対に、ウェハスループットを改善することによって、製作コストを著しく削減することができる。
【0006】
プロセス制御が特に重要でありかつ枚葉式加工が特に有用な1つのプロセスが、エピタキシャル層の形成である。堆積した層が、その下にあるシリコンウェハと同じ結晶構造を有する場合、それをエピタキシャル層と呼ぶ。堆積条件を注意深く制御することによって、反応物ガスが、加熱した基板上を通過して、その結果、堆積する種が、その下にある結晶構造に従って沈着し、これが成長層内へ延びる。トランジスターを含めて、素子の最も下の堆積層には、単結晶半導体基板上に形成されたエピタキシャル層がしばしば含まれる。
【0007】
エピタキシャル成長前における界面の清浄度
エピタキシャル層が、素子の作動に影響し得る汚染のない単結晶構造を維持することが重要である。エピタキシャル成長前における、その下にある基板の純度および結晶構造は、結果として生じるエピタキシャル層に強い影響を与える。基板表面の汚染物質、例えば、自然に生じる「自然酸化物」および炭素汚染物質が、結晶構造に支障をきたし、その結果として、形成されるとその下に横たわる各層の電気特性に支障をきたし、多結晶層が生じることになる。清浄な、酸化物のない表面がまたエピタキシャル成長以外のいくつかの状況に望ましいことに留意する。
【0008】
典型的には、成長前に、「APM」洗浄として知られる、水酸化アンモニウム、過酸化水素の混合物によるウェハの洗浄を行う。最も一般的な洗浄方法には、RCA洗浄方法の1つまたはそれ以上の方式が含まれる。RCAスタンダードクリーニング−1(SC−1)処置は、約70℃の温度へ加熱したAPM溶液および水を使用する。SC−1処置によって、薄膜が溶け、IおよびII族の金属が除去される。IおよびII族の金属は、SC−1溶液中の反応物質と錯体を形成することにより除去される。RCAスタンダードクリーニング−2(SC−2)処置は、約70℃の温度に加熱した過酸化水素、塩酸、および水の混合物を利用する。SC−2処置は、SC−1処置によって除去されなかった金属を除去する。
【0009】
エピタキシャルSiGeスタックの場合のように、酸化物のない表面が必要な場合、シリコンウェハは、典型的には、フッ化水素酸水溶液またはHF蒸気に浸されて、フッ化水素酸水溶液またはHF蒸気が、残存した酸化層をAPM洗浄によりエッチング除去され理論上水素終端を得られるような処理を行う。RCA洗浄およびフッ酸処理には多数の変形がある。洗浄後に、ウェハは、さらに処理を行う前にある期間保管されるのが典型的である。空気または水分にさらされたほぼ直後に、自然酸化物が、予め酸化物をなくしておいたシリコンウェハ表面に生じやすい。さらに、シリコン−フッ素およびシリコン炭素結合は、洗浄後にシリコンウェハ表面にしばしば認められる。表面のフッ素および炭素汚染物質は、熱量(thermal budget)および/または、ウェハの表面に成長または堆積する層の品質に対して有害になりかねない。
【0010】
シリコンウェハが、(「HF終端」ステップとしても知られる)終端洗浄ステップとしてフッ化水素酸に浸された場合、シリコンの表面は、大部分が水素の単分子層で終わり、主としてSi−H結合により基板に結合するのが典型的である。水素が終端となった表面は、未処理のシリコンよりも酸化しにくい。望ましい場合、水素の終端は、約500℃よりも高い温度で除去可能である。しかしながら、従来のHF終端処理後のシリコンウェハの表面は、通常、もとの酸化層の除去後約20分以内に再酸化し始め、シリコンウェハの表面に5Åから7Åの厚さの新しい酸化層が直ちに形成される。これは、他の問題を、例えば、その後の処理の前に終端を除去する際に汚染が生じたり、または困難を生じたりするという問題をもたらすが、炭素またはフッ素の終端は、再酸化を防ぎやすくなり得る。HF終端ステップの後の再酸化の問題は、多くのシリコン素子を高スループットで製造することに有害であったが、シリコンゲルマニウムベース上にエピタキシャルシリコンエミッタ層を生成する際には特に障害となっていた。
【発明の開示】
【発明が解決しようとする課題】
【0011】
発明の概要
したがって、化学気相成長前に基板表面を清浄化し、形成後に堆積した層の純度を維持する方法に対する要請が存在する。望ましくは、そのような方法は、システムコストを増加させず、またはウェハスループットを低減させずに、枚葉式エピタキシャルシリコン堆積チャンバと、問題なく共用可能であるべきである。いくつかの構成では、これらの方法は、SiGe合金、次のエピタキシャル層用の熱量を消耗すべきでない。さらには、SiGeベース層の厚さおよびゲルマニウム濃度の両方を増加させ得る方法に対する要請がある。これらおよび他の要請は、本発明内のいくつかの実施形態によって満たされる。
【課題を解決するための手段】
【0012】
本発明の一態様によれば、半導体領域が露出されて酸化しやすい半導体基板を処理する方法が提供され、この方法は、550℃未満で化学気相成長反応室内において基板支持物に基板を載置するステップと、45秒未満の間還元環境において基板をベークするステップと、ベーク後に基板温度を安定させるステップと、温度を安定させた後に、半導体領域上に直接化学気相成長法により層を堆積させるステップとを含む。
【0013】
本発明の別の態様によれば、半導体上に化学気相成長を行うシステムが、提供され、この化学気相成長システムは、コールドウォール型反応室と、反応室に連結されたプラズマソースと、反応室内に収容されたサセプタと、反応室内外へ基板を搬送するように構成されたロボットと、加熱エレメントと、反応室の低温プラズマ洗浄、基板の載置、および次の堆積を制御するようにプログラムされたコンピュータとを備える。
【0014】
本発明の第3の態様が、半導体基板に化学気相成長させるシステムを提供し、この化学気相成長システムは、プラズマソースと、サセプタと、反応室内外へ、水素が終端となった基板を搬送するように構成されたロボットと、加熱エレメントと、反応室の低温プラズマ洗浄、基板の載置、および次の堆積を制御するようにプログラムしたコンピュータとを備える。
【0015】
本発明の別の態様によれば、シリコンゲルマニウム層上にシリコン含有層をエピタキシャル成長させる方法が、提供される。本方法は、反応室内へかつ反応室内に収容されたサセプタ上に、シリコン−ゲルマニウム層を有する半導体基板を挿入することを含む。基板には、ベークステップを行い、その次にシリコン−ゲルマニウム層の上にシリコン含有層をエピタキシャル形成する。
【0016】
本発明のなお別の態様によれば、半導体処理方法が提供される。半導体表面が露出した基板を反応室内に挿入する。約45秒未満の間、半導体表面から酸化物を取り除くためにベークステップを行う。次いで、エピタキシャル層を、単結晶シリコンゲルマニウム層上に成長させる。
【発明を実施するための最良の形態】
【0017】
本発明のこれらおよび他の態様は、次の説明および添付の図面から当業者には容易に明らかになるだろう。
【0018】
好ましい実施形態の詳細な説明
本発明の好ましい実施形態を枚葉式反応チャンバに関連して説明するが、当業者は、ここに教示された原理および利点が、他のタイプの堆積リアクタへ適用されることを理解するだろう。さらには、一連のプロセスステップをここに開示するが、当業者は、開示された他のステップのいくつかがない状態でも開示したステップのいくつかが利用されることを認識するだろう。
【0019】
インサイチュー堆積の前に半導体表面から不純物を除去するための低温急速ベーク用システムおよび方法を提供する。有利には、短時間の低温プロセスは、熱量をほとんど消費せず、その結果、本プロセスが、シャロージャンクションおよびエピタキシャルSiGe合金が予め製作された、最新式の高密度回路に適切となる。さらには、スループットは、特にベーク前に低温プラズマ洗浄を行うことと低温ウェハを搬入することとを組み合わせて、低温ベークし、従来のエピタキシャル成長より低い温度でベークした後に成長を行うことによって、非常に改善される。本発明のための好ましい実施形態を主として化学気相成長法(CVD)に関して示すが、当業者は、原子層堆積法(ALD)もまた適切であることを理解するだろう。
【0020】
好ましい実施形態では、空いている基板支持物上への基板の載置は、保護終端(例えば水素)が脱着する温度未満で、例えばHF終端プロセスによって残っている水素終端用の約500から550℃未満で行う。次いで、水素ベークでは、30秒未満で、典型的実施形態では15秒未満で急昇温し、次いで、その後のインサイチューCVDプロセスに適切な温度に迅速に安定させる。例えば、選択エピタキシー、エピタキシャルまたは多結晶SiGe、および酸窒化堆積はすべて、約550℃と800℃との間の比較的低温で行うことができる。ベークおよび堆積が起り得る温度を低くすることによって、プロセスには、プロセスの合間の冷却時間が少なくて済み、したがって、反応チャンバのスループットは増加する。ウェハとウェハとの間において500℃未満でサセプタが空になるので、チャンバは、低温プラズマで洗浄可能である。これは、ヘテロ接合バイポーラトランジスタ(HBT)を、特にシリコンゲルマニウムおよびエピタキシャルシリコンからなるHBTを作り出す際に特に有利である。最後に、本発明は、SiGeベースを洗浄しどんな酸化物または炭化物も除く方法を提供し、ベースの熱量を超過せずまたは格子構造内への転位を導入せずに、シリコン含有エミッタをSiGeベースの上にエピタキシャル成長させることができる。
【0021】
好ましいリアクタの実施形態
好ましい実施形態を、枚葉式の、水平フロー式コールドウォール型リアクタに関して示す。「枚葉式」の加工ツールは、一般に、従来のバッチ式システムよりすぐれたプロセス制御および高い均一性を示すが、一度に一枚のみのまたは多くても一つかみの基板しか処理できないので、スループットを犠牲にする。ここで示した枚葉通過式水平フロー設計はまた、短い滞留時間で、反応物ガスが層流をなすことを可能にし、それは次いで、反応物相互のかつ反応物とチャンバの表面との相互作用を最小限にしながら、連続処理を容易にする。したがって、他の利点には、このように層流をなすことによって、相互に反応し得る反応物が連続して流れ得ることがある。回避される反応には、発熱または爆発反応、およびチャンバの微粒子汚染を生成する反応が多く含まれる。さらには、チャンバは、基板をサセプタに載せた後チャンバから空気および水分をパージするような、ステップの合間にチャンバを迅速にパージすることを容易にする。
【0022】
図1に、好ましい実施形態によって構成された化学気相成長(CVD)リアクタ10であって、石英プロセスまたは反応チャンバ12を含み、ここに開示の方法が特別の効用を有する化学気相成長(CVD)リアクタ10を示す。本発明者は、本来一度に単一の基板へのシリコンのエピタキシャル成長を最適化するように設計しているが、いくつかの異なる材料からのCVDにおいて有用性のある優れたプロセス制御を発見した。さらには、ここで示したリアクタ10は、同じチャンバ12内で連続して複数の処理ステップを安全に清浄に行うことができる。リアクタ10の基本的な構成は、アリゾナ、フィーニックスにあるエーエスエムアメリカ インコーポレイテッドから商標名イプシロンの市販品を入手可能である。
【0023】
複数の放射熱源が、チャンバ12の外側に支持されて、石英チャンバ12の壁によりあまり吸収はされることなくチャンバ12内に熱エネルギーを供給する。好ましい実施形態を、半導体ウェハ処理用の「コールドウォール型」CVDリアクタに関して説明するが、ここに述べる処理方法には、誘導または抵抗加熱を用いた加熱/冷却システムのような他の加熱/冷却システムと関連する有用性があることが、理解されるだろう。さらに、本発明の好ましい実施形態においては、主として化学気相成長法(CVD)に関して示すが、原子層堆積法(ALD)もまたさらに適切であることを、当業者は理解するだろう。
【0024】
ここで示した放射熱源は、細長いチューブ型の放射加熱エレメント13の上部加熱アセンブリを備える。上部加熱エレメント13は、その下に横たわる反応チャンバ12の中を通る反応ガス流路と平行に間隔をおいた関係でかつさらに実質的に平行に配置されることが好ましい。下部加熱アセンブリは、反応チャンバ12より下にあり、上部加熱エレメント13に対して直角に向けられるのが好ましく同様の細長いチューブ型放射加熱エレメント14を備える。望ましくは、放射熱の一部分は、上部ランプ13の上および下部ランプ14の下にある粗面の鏡面反射体プレート(図示せず)によってチャンバ12内へ拡散反射する。さらには、複数のスポットランプ15が、基板支持構造体(後述)の下側に集中する熱を供給して、反応チャンバ12の底を通り延びているコールド型支持構造体によって生じるヒートシンク作用を抑制する。
【0025】
細長いチューブ型の各加熱エレメント13、14は、高強度のタングステンフィラメントランプであって、ヨウ素のようなハロゲンガスを含有し透明な石英密閉容器を有するタングステンフィラメントランプであることが好ましい。このようなランプは、あまり吸収されずに反応チャンバ12の壁を通って伝達されるフルスペクトルの放射熱エネルギーを生成する。半導体処理装置の技術において知られているように、種々のランプ13、14、15の電力は、温度センサへ応答して別々にまたはグループ化されたゾーンで制御することができる。
【0026】
ここで示した実施形態では、細長いチューブ型の放射加熱エレメント13、14は、これらのエレメントが比較的急速に加熱され冷却され得るという望ましい特性を有することから、好ましい。上部の加熱エレメント13および下部加熱エレメント14は、別々に制御可能とすることが好ましい。しかしながら、当業者には明らかなように、他の実施形態では、代替加熱ソースを使用してもよい。
【0027】
基板支持構造体18上の反応チャンバ12内に支持された、好ましくはシリコンウェハ16を含む基板を示す。ここで示した実施形態の基板は単結晶シリコンウェハであるが、「基板」という用語が広く、層が堆積するどんな面をも指すことが理解されることに留意する。さらに、ここに記載した原理および利点は、限定はしないが、フラットパネルディスプレイに使用されるガラス基板のようなガラス基板を含む、多数の他のタイプの基板上に層を堆積させることに同様によく適用される。
【0028】
ここで示した支持構造体18は、ウェハ16を上に載せる基板ホルダー20と、支持スパイダ22とを含む。スパイダ22は、シャフト24に装着され、シャフト24は、チャンバの下方壁から垂下するチューブ26を通って下方へ延びる。チューブ26は、処理中に流れ得るパージまたはスイープガスソースと連通することが好ましく、これによりプロセスガスがチャンバ12の下方部に漏出することが妨げられる。
【0029】
好ましいコールドウォール型リアクタ10の基板ホルダー20は、放射熱エネルギー用サセプタである。典型的なサセプタは、SiCまたはSiCをコーティングしたグラファイトを含んでいる。ここで示した実施形態では、サセプタ20は、約1mm未満のクリアランスを有する200mmウェハに適合するサイズのポケットを含む。他の構成としては、サセプタは、(例えばポケットサイズを)他のサイズのウェハを支持するように設計することができる。ここに記載したプロセスのいくつかの態様では、軽量サセプタと問題なく共用可能であるが、いくつかの態様では、標準サセプタに特に有利であり、標準サセプタは、支持を行うように設計されたウェハよりかなり大きく重い。そのような標準サセプタは、好ましくは支持されるウェハ16の熱量の約3倍を超える熱量を有し、さらに好ましくはウェハの熱量の約5倍を超える熱量を有する。ここで示した実施形態では、サセプタ20は、ウェハ16の熱量の約7から8倍の熱量を有する。
【0030】
複数の温度センサが、ウェハ16の近傍に配置される。温度センサは、光高温計または熱電対のような種々の方式のどれを用いることもできる。温度センサの数および位置は、好ましい温度コントローラについての以下の説明を考慮すれば理解されるように、温度の均一性を促進するように選択される。しかしながら、温度センサは、直接または間接的にウェハ近傍の位置の温度を検知することが好ましい。
【0031】
ここで示した実施形態では、温度センサは、任意の適切なやり方で、ウェハホルダ20の下に吊り下げられた、第1のまたは中央の熱電対28を含む、熱電対を備える。ここで示した中央の熱電対28は、ウェハホルダ20の近傍においてスパイダ22を通り抜ける。リアクタ10は、ウェハ16の近傍にも、さらに複数の第2のまたは周縁の熱電対を含み、この熱電対には、前縁または前方熱電対29、後縁または後方熱電対30、および側方熱電対(図示せず)が含まれる。周縁の各熱電対は、スリップリング32内に収容され、このスリップリング32は、基板ホルダ20およびウェハ16を囲む。中央および周縁の各熱電対は、温度コントローラに接続され、この温度コントローラは、熱電対の示度に応じて、種々の加熱エレメント13、14、15の電力を設定する。
【0032】
周縁の熱電対を収容することに加えて、スリップリング32は、高温処理中に放射熱を吸収し放出して、その結果、ウェハ縁における熱損失または吸収が多くなる傾向に対する補償を行う。この傾向は、このような縁近くの領域において体積に対する表面積の比が大きくなることにより起こる現象として知られている。縁の損失を最小限にすることによって、スリップリング32は、ウェハ16全体に渡って径方向に温度が均一でなくなるという危険を低減させることができる。スリップリング32は、種々の適切な手段によって吊り下げ可能である。例えば、ここで示したスリップリング32は、前方チャンバディバイダ36および後方チャンバディバイダ38から垂下するエルボー34に載っている。ディバイダ36、38は、石英から形成されることが望ましい。いくつかの構成では、下流のディバイダ38は省略可能である。
【0033】
ここで示した反応チャンバ12は、反応物およびキャリアガス注入用の入口40を含み、ウェハ16もその中を通って受け入れ可能である。出口42が、チャンバ12の反対側にあり、ウェハ支持構造体18が、入口40と出口42との間に配置される。
【0034】
入口構成部品50が、反応チャンバ12に取り付けられ、入口40を囲むように適合され、ウェハ16を挿入可能な水平方向に細長いスロット52を含む。概ね垂直の入口54が、以下にさらに十分な説明をするように、遠隔ソースからガスを受け入れ、このようなガスをスロット52および入口40に通す。入口54は、Hawkinsらに発行された米国特許第5,221,556号明細書に説明されているような、あるいは1996年4月25日付出願の米国特許出願第08/637,616号明細書の図21から図26に関して説明されているような、ガスインジェクタを含み得、それらの開示内容は、参照により組み込まれる。このようなインジェクタは、枚葉式ウェハリアクター用のガス流の均一性を最大限にするように設計されている。
【0035】
出口構成部品56が、同様に処理チャンバ12に装着されて、排気開口部58が、出口42と一直線に並び、排気導管59に通ずる。次いで、導管59は、チャンバ12からプロセスガスを引き出す適切な真空手段(図示せず)と連通させることができる。好ましい実施形態では、プロセスガスが、反応チャンバ12および下流のスクラバ(図示せず)から引き出される。チャンバ12からプロセスガスを引き出すことを支援し低圧処理用のチャンバの空気を抜くポンプまたはファンを含ませることが好ましい。
【0036】
リアクタ10はまた、好ましくはチャンバ10の上流に配置される、種を励起させるジェネレータ60をさらに含むことが好ましい。ここで示した実施形態の励起種ジェネレータ60は、ガスラインに沿ったマグネトロン発電機およびアプリケータを含む、遠隔プラズマジェネレータを備える。典型的な遠隔プラズマジェネレータは、ドイツ国ミュンヘンのラピッド リアクティブ ラジカル テクノロジー(R3T) ゲーエムベーハーよりTR-850の商品名の市販品を入手可能である。ここで示した実施形態では、マグネトロンからのマイクロ波エネルギーが、ガスラインに沿ったアプリケータ内を流れるガスに結合する。プラズマソースガス63が、励起種ジェネレータ60の上流に配置される。当該技術において知られているように、プラズマソースガス63は、反応物種の形態および揮発性により異なる、反応物、キャリアガス、ガスタンク、バブラーなどを備え得る。各ガスラインには、個別の流量制御装置(MFC)およびバルブを設けることができ、これによって、励起種ジェネレータ60へ、そこから反応チャンバ12内へ導入されるキャリアおよび反応物種の相対量を選択可能となる。他の構成では、処理チャンバ内において励起種を生成可能であることが理解されるだろう。しかしながら、後述の好ましいプロセスでは、励起した種を使用せず、熱CVDの種である。
【0037】
ウェハは、周囲環境から隔離された、ハンドリングチャンバ(図示せず)から、ピックアップ器具によりスロット52を通って通過させることが好ましい。ハンドリングチャンバおよび処理チャンバ12は、標準の垂直スリットバルブまたは米国特許第4,828,224号明細書に開示されたタイプのゲートバルブ(図示せず)によって分離されることが好ましく、その開示は、参照によってここに組込まれる。
【0038】
200mmウェハの処理用に設計された枚葉式処理チャンバ12の全容量は、例えば、好ましくは約30リットル未満、さらに好ましくは約20リットル未満、最も好ましくは約10リットル未満である。ここで示したチャンバ12は、約7.5リットルの容量を有する。しかしながら、このチャンバ12が、ディバイダ32、38、ウェハホルダ20、リング32、およびチューブ26から流れるパージガスによって分けられるので、プロセスガスが流れる有効容量は、全容量の約半分(ここで示した実施形態では約3.77リットル)である。当然ながら、枚葉式処理チャンバ12の容量を、チャンバ12が収容するように設計されたウェハサイズにより異なるものにすることができることは理解されるだろう。例えば、このタイプの枚葉式処理チャンバ12は、300mmウェハ用だが、好ましくは約100リットル未満の容量、さらに好ましくは約60リットル未満の容量、最も好ましくは約30リットル未満の容量を有する。1つの300mmウェハ処理チャンバは、約24リットルの全容量を有し、約11.83リットルの有効プロセスガス容量を有する。
【0039】
さらに図2に示すように、リアクタ10は、さらに水素ガス(H2)ソース72を含む。本技術において知られているように、水素は、沸点が低いので非常に高純度で供給可能であることから有用なキャリアガスおよびパージガスであり、シリコン堆積に適合性がある。H2もまた、層の形成前に好ましい水素ベークにおいて還元剤として使用される。他の構成では、H2はまた、自然酸化物の洗浄のためのまたは他の目的のためのHラジカルを生成するために、励起種ジェネレータ60中を流すことができる。
【0040】
ここで示したリアクタ10はまた、さらに窒素ガス(N2)のソース73を含む。本技術において知られているように、N2は、半導体製作時にキャリアまたはパージガスとしてH2の代わりに使用されることが多い。窒素ガスは、多くの集積材料に対して比較的不活性であり、プロセスフローに適合性がある。可能性のある他のキャリアガスには、ヘリウム(He)またはアルゴン(Ar)のような希ガスが含まれる。
【0041】
液体の反応物ソース74も示す。液体ソース74は、例えばバブラー内に液体のジクロロシラン(DCS)、トリクロロシラン(TCS)またはより高いオーダーのシランソースと、気相反応物を泡立たせバブラーから反応チャンバ12へ運ぶためのガスラインとを含み得る。バブラーは、その代わりに(またはそのうえに)、金属ソースとして液体Ta(OC2H5)5を保持し、またキャリアガスソース75は、液体ソース74中にH2(図示)または他のキャリアガスを通して泡立たせ気体状で反応チャンバ12に有機金属前駆物質を輸送することに役立つ。
【0042】
望ましくは、リアクタ10はまた、他のソースガスを含み、他のソースガスには、ドーパントソース(例えば、図示したホスフィンソース76、アルシンソース78およびジボランソース80)、並びにリアクタ壁および他の内側構成部品を洗浄するエッチング剤(例えば、HClソース82、または励起種ジェネレータ60に給送するプラズマソースガス63として供給されるNF3/Cl2の混合物)がある。好ましい実施形態に係るヘテロエピタキシ薄膜堆積のためには、ゲルマニウム(例えば、ゲルマンまたはGeH4)ソース84、および炭素ソース85(例えば、SiH(CH3)3ソース、Si(CH3)4ソースまたはCH4ソース)もまた、SiGeおよびSiCの薄膜をドーピングまたは形成するために供給される。
【0043】
シランソース86も設けられる。本技術において知られているように、モノシラン(SiH4 )、DCSおよびTCSを含む、シランが、CVD利用に一般的な揮発性シリコンソースであり、例えば、ポリ−SiGe、SiC、窒化シリコン、金属シリサイド、および外因性または真性シリコン(堆積パラメータにより異なるが、多結晶、アモルファスまたはエピタキシャル)などがある。ジシラン(Si2H6)、トリシラン(Si3H8)およびテトラシラン(Si4H10)のようなあまり一般的でないソースも可能である。モノシラン(SiH4)ソース86を示す。
【0044】
ここで示した追加のソースガスは、アンモニア(NH3)ソース70を含み、このアンモニアソース70は、CVDおよび窒化アニールステップにおいて有用な、揮発性の窒素ソースとして役立つ。しかしながら、当業者は、図示したガスソースが、単に例示的であり、クレームした発明のすべての実施形態に必ずしも必要ではないことを理解するだろう。さらに、他のガスソースもまた、ここで示したガスソースの代わりに使用可能であるか、あるいはそうでなければ代替実施形態に追加可能である。
【0045】
各ガスソースは、安全および制御弁が付随するガスライン、並びにガスパネルで調節が行われる流体制御装置(「MFC」)を介して、入口54(図1)からリアクタチャンバ12へ連結可能である。プロセスガスが、中央コントローラ内にプログラムされた命令にしたがって入口54(図1)に通じ、インジェクタを通ってプロセスチャンバ12内へ分配される。プロセスチャンバ12を通過させた後に、未反応のプロセスガスおよび気体状の反応副生成物が、スクラバ88(図2)へ排気されて、大気へ排出される前に環境上危険なフュームが濃縮される。
【0046】
好ましいリアクタ10は、上述の、従来のガスソースおよび液体バブラーに加えて、反応チャンバ12の遠隔にまたは上流に配置された励起種ジェネレータ60を含む。ここで示したジェネレータ60は、アプリケータ内を流れるガスにマイクロ波エネルギーを結合し、アプリケータ内において、ガスは、反応物ソース63からの反応物前駆物質を含む。後述のプロセスについては、プラズマソースガス63は、プラズマ(例えば、N2、HeまたはAr)の支持に役立つように、フッ素(例えば、NF3、F2またはB2F6)ソース、塩素(例えば、Cl2 )ソース、他のハロゲン化物ソース、及び/またはキャリアガスソースを含む。プラズマが、アプリケータ内において点火され、励起種が、チャンバ12の方へ運ばれる。好ましくは、ジェネレータ60により生成された励起種のうち、非常に反応性の高いイオン種が、チャンバ12内へ入る前に実質的に再結合する。他方では、F、Cl、NまたはOのような、電気的に中性の励起種が、大部分が残存して、チャンバ12に入り適切に反応する。以下の、全般的なプロセスの説明から明らかになるように、遠隔プラズマ生成された励起種が、ここに説明した低温ベークと組み合わせて使用されると、低温のチャンバ洗浄を促進しそれに伴ってウェハスループットを高くする。
【0047】
図3に関して、複数の温度センサに関連付けてウェハ16を示し、各温度センサは、温度コントローラ90に連結される。単一の温度コントローラ90として概略をここで示したが、好ましい実施形態は、4つの別個の温度センサで温度を直接制御するための、4つの独立した温度コントローラを用いる。しかしながら、「熱処理のモデル予測制御」というタイトルの、de Waardらによる米国特許第6,207,936号明細書に開示されているような、いくつかの温度コントローラのどれかを有するリアクタに本発明を適用可能であることを、当業者は理解するだろう。そのような温度コントローラは、センサの応答時間によって制限されずに、温度センサの温度を予測制御可能である。
【0048】
しかしながら、好ましい温度コントローラ90は、独立した温度センサに対応する独立したPIDコントローラを含む。好ましい実施形態では、温度センサは、熱電対28から31を備え、これらの熱電対28から31は、温度測定技術において知られているように、接合部を形成する一対の電線における電圧を測定することにより、間接的に温度を測定する。比例、積分および微分は、リアクタ技術者が各PIDコントローラ用に定めたものである。そのようなコントローラは、761 CNA 枚葉式ステーション マイクロ−コントローラの名称で、マサチューセッツ州のフォックスボロのフォックスボロ カンパニーから入手可能である。さらに、上部加熱エレメント13および下部加熱エレメント14、15にはまた、別々に電力が供給される。
【0049】
当業者によって理解されるように、リアクタ10は、流量制御装置、およびコンピュータ(図示せず)を含んでおり、このコンピュータは、PIDコントローラ90を制御するプログラムを格納する中央処理装置および記憶装置を有する。したがって、ここに説明した、所定のプロセス「レシピ」用のゲートバルブの開閉、ロボットによるウェハの搬送、昇温、ガス流のシーケンスが、コンピュータ内へプログラムされる。
【0050】
エクスサイチューウェハ洗浄
上記の「背景」セクションに記載したように、基板表面の純度は、その上に堆積した層の品質に、特にエピタキシャル成長した層について、非常に影響する場合がある。半導体基板汚染の一般的原因は、自然酸化物であり、この自然酸化物は、当然ながら、大気にさらされると露出されたシリコン表面に自然に形成される。ウェハ供給業者から受け取る時に、炭素汚染物質もまた、半導体ウェハの表面に見つかることが多い。
【0051】
供給業者から製作設備までウェハを搬送し、設備内の処理装置またはツールの間においてウェハを搬送する際における、そのような露出および汚染は避けられない。例えば、別々の供給業者が鏡面シリコンウェハを提供することが典型的である。さらに別の供給業者が、ウェハを入手し、エピタキシャル層を施し、製作設備へこれらのウェハを供給することが多い。エピタキシャル層および後の製作ステップが同じ設備で行なわれる場合でさえ、ウェハは、設備の、異なる区域のプロセスステップ間において、大気中の汚染物質およびプラスチックハンドリング設備に露出されることが多い。
【0052】
したがって、図4に関して、ウェハは、CVDリアクタ内に搬入する前にエクスサイチュー槽内で洗浄することが最も好ましい。いくつかの構成では、図4の洗浄プロセスの一部またはすべてを、CVDリアクタを有する一般的な搬送チャンバのまわりに集められたプロセスツール上で行うことができる。図4のプロセスでは、「安定した、酸化物のないシリコン表面処理」というタイトルで2001年3月26日に出願され同時係属中であり、本願の譲受人に譲渡された米国特許出願第09/817,770号明細書の、特に最適化された洗浄プロセスを用いることが最も好ましく、その開示は、参照によりここに組み込まれる。下記の状態は、’770出願の好ましい実施形態から得られる。しかしながら、当業者は、本開示の低温ベークステップもまた、他の洗浄プロセスに続いて使用可能であることを理解するだろう。
【0053】
最初に、堆積が行われるシリコン含有表面(例えば、ベアシリコンウェハ、またはSiGeベースが上に形成されるウェハ)を有する基板に、水酸化アンモニム/過酸化水素混合物(APM)による洗浄100が行われる。好ましい実施形態の水酸化アンモニウム/過酸化水素洗浄100では、30%の過酸化水素を800mLから1,000mLと、29%の水酸化アンモニウムを300mLから600mLと、11ガロン(41L)の水とからなる溶液を使用する。したがって、槽の全濃度は、好ましくは0.50から0.80容積%の水酸化アンモニウム、さらに好ましくは0.58から0.73容積%の水酸化アンモニウムである。槽の全濃度は、好ましくは約0.10%から0.50%の過酸化水素であり、さらに好ましくは約0.21%から0.42%の過酸化水素である。溶液は、好ましくは約20℃から50℃の温度に、さらに好ましくは30℃から40℃の温度に維持される。好ましい実施形態では、ウェハは、約5分から15分の間溶液内に維持される。説明済みの図4のステップ100のAPM溶液は、RCA洗浄プロセスのSC−1溶液に類似したものにできる。
【0054】
APM洗浄ステップ100では、化学酸化物を成長させるプロセスにおいてシリコンウェハからパーティクル、表面の欠陥、I族、II族の金属を取り除く。APM洗浄は、開放槽型エッチング槽または他の適切な槽内において行うことができる。開放槽型エッチング槽は、市販品を入手可能である。エム アンド エー再循環型エッチング槽が、アリゾナ州フィーニックスのマークス アンド アソシエーツから市販品を入手可能であって、APM洗浄ステップ100において使用するのに適切である、典型的な開放槽型エッチング槽である。他の開放槽型エッチング槽が、APM洗浄ステップ100に適する。さらには、APM洗浄ステップ100は、開放槽型エッチング槽に限定されない。
【0055】
図4に示すように、希フッ酸(dHF)エッチングステップ110が、APM洗浄ステップ100の後に行なわれる。酸化物層が、APM洗浄ステップ100の後シリコンウェハの表面に存在する。希フッ酸エッチングステップ110では、シリコンウェハから酸化物層を取り除く。
【0056】
希フッ酸エッチングステップ110用の希フッ酸は、好ましくは約0.5から1.0重量%(wt%)の濃度のフッ化水素、さらに好ましくは0.5wt%の濃度のHFを有する。エッチングステップ110用に希フッ酸を使用することによって、シリコンウェハの表面上の汚染が最小限になる。希フッ酸は、シリコンウェハの表面のパーティクルを最小限にし水素終端を増やすように、好ましくは約35℃から60℃の温度に加熱され、さらに好ましくは約50℃に加熱される。希フッ酸を加熱してエッチングすることによって、シリコンウェハの全表面における均等な酸化物エッチング速度が得られる。シリコンウェハが希フッ酸エッチングにさらされる時間は、好ましくは約20秒と2分との間、さらに好ましくは約40秒と60秒との間、最も好ましくは約60秒である。典型的な処置では、シリコンウェハは、約60秒間、約50℃の温度で、約0.5wt%のフッ化水素濃度の希フッ酸でエッチングされる。
【0057】
当業者は、他の構成ではHF蒸気も使用可能であることを理解するだろうが、基板は、液体または湿式HF槽に浸されることが好ましい。エッチング槽内において希フッ酸を形成するために使用される超純水は、金属レベルが低いことを示す、高抵抗率を有する。エッチング槽内において希フッ酸を形成するために使用する水は、25℃の温度で、約15メガオームセンチメートル(MΩ−cm)より高い抵抗率を有し、最も好ましくは少なくとも約18MΩ−cmの抵抗率を有する。全有機炭素(TOC)および溶解したシリカもまた、10ppb(十億分率)未満のレベルに最小限にされることが好ましい。
【0058】
エッチング槽内において希フッ酸を形成するために使用されるフッ酸は、好ましくはパーティクルおよび溶解金属レベルが低いギガビット級の(PPTオーダの不純物の)フッ酸であり、アリゾナ州テンプのアラメダ ケミカル、(480)785−4685から品番107101号の49%溶液の市販品を入手可能である。
【0059】
好ましい実施形態では、高純度窒素パージカーテンが、希フッ酸エッチングステップ110および後のインサイチューリンスステップ120中に、気体/液体界面で使用される。高純度窒素は、フィルタを通って濾過され、使用ポイントで0.003μmより大きなパーティクルが除去される。窒素がシリコンウェハと接触する前に、窒素をイオン化することによって、パーティクルが最小限になる。イオン化装置が、例えば、ヴェルテックのSRD(登録商標)システムの一部分である。高純度窒素は、シリコンウェハ上のパーティクルを中性にし表面終端を安定させる。
【0060】
シリコンウェハがステップ110において希フッ酸でエッチングされた後、シリコンウェハに、超純水でエッチング槽内においてインサイチューリンスを行い、図4のインサイチューリンスステップ120において、エッチングされたシリコン表面の水素の不動態化が最大限になる。インサイチューリンスステップ120に使用される超純水は、エッチング槽内において希フッ酸を形成するように使用されて安定した水素終端およびパーティクルの中性を維持する超純水と同じ純度を有することが望ましい。エッチングされたシリコンウェハは、好ましくは前のエッチングステップによる全HF酸およびパーティクルを取り除くのに十分な時間超純水でリンスされ、その時間は、当然ながらエッチング槽の容積により異なる。
【0061】
エッチング槽内においてその場でシリコンウェハをリンスすることによって、汚染量が最小限になる。さらに、インサイチューリンスステップ120によって、リンス槽内へウェハを搬送するステップが排除される。シリコンウェハの汚染は、リンス槽への搬送中に生じる可能性がある。ここで示した実施形態では、インサイチューリンスステップ120は、ほぼ室温(20℃から25℃または約23℃であるのが典型的)で行われる。インサイチューリンスは、滝流し式リンスであることが好ましい。典型的なインサイチューリンスステップ120は、約15分間室温で超純水によりエッチング槽内において行うインサイチューリンスを含む。
【0062】
シリコンウェハを、超純水でリンスした後、図4のスピン乾燥ステップ130において乾燥させる。様々なスピン乾燥装置は乾燥ステップ130に適しているが、ヴェルテック1800が、典型的なスピン/リンス乾燥機であり、カリフォルニア州サンタナのヴェルテック インコーポレイテッドから市販品を入手可能である。シリコンウェハは、インサイチューリンスステップ120でリンスした後にスピン/リンス乾燥機に搬送される。回転のみの乾燥ステップ130では、高温でイオン化した窒素を乾燥機内へ約15slmと25slmとの間の速度で流しながら、シリコンウェハをスピン乾燥させる。高温の窒素ガスは、好ましくは60℃から80℃の温度で、さらに好ましくは60℃から80℃の温度で、最も好ましくは約70℃の温度である。機械のリンスサイクルを使用せずに、乾燥サイクルのみを、好ましくは400rpmから600rpmで行う。窒素流は、フィルタを通過し、このフィルタは、0.003μmより大きなパーティクルを乾燥機に入る前に除去する。回転のみによる乾燥ステップ130は、ベアシリコンウェハについては典型的には約240秒、パターン形成済みウェハについては約480秒で、シリコンウェハが乾燥するまで、行う。回転のみによる乾燥ステップ130は、シリコンウェハ上のパーティクルを中性にし表面終端を安定させる。
【0063】
典型的な回転のみによる乾燥ステップ130は、ヒータをつけ帯電防止オプション(イオン化)を選んで、ベアシリコンウェハについては500rpmで240秒間、またはパターン形成済みのシリコンウェハについては480秒間行う。
【0064】
有利には、約20分以内で酸化が生じることになる従来の洗浄プロセスとは対照的に、ここに説明するプロセスによって、微粒子数が少数になり、何日間もクリーンルームの状態下に水素終端を安定に維持することができる。しかしながら、従来の洗浄は、後述のプロセスに関連して用いることができる。この場合、図5のプロセスを開始する直前に、そのような洗浄または少なくともHF終端処理を行うことが好ましい。
【0065】
低温ベーク、堆積およびチャンバ洗浄
ここで図5を参照すると、図4のプロセスは、ステップ200として示され、好ましいCVDチャンバ内へのウェハ搬入220の前に行われる。
【0066】
シリコンソースガスからの残留物が、通常は、堆積後に反応チャンバ12(図1)の表面に残る。過去においては、そのような残留物は、堆積の合間に簡易なHCl蒸気エッチングステップで除去されてきた。洗浄を頻繁に行わなければ、残留物は、通常の操作用の放射熱が通るはずの石英リアクタの壁を曇らせかねない。しかしながら、エッチングステップの前に酸化ステップに残留物をさらすことによって、残留物が硬化しやすく、より有害かまたはコストのかかる洗浄ステップが必要となる。コーティングを施した表面をエクスサイチュー酸洗浄することには、例えば、プロセスチャンバを取り外す際に相当なコストが必要となり、また言うまでもなくリアクタの構成部品をエクスサイチュー洗浄している間にリアクタを休止させる時間のコストが必要となる。
【0067】
チャンバにウェハがない間に、チャンバ壁および他の構成部品から付着物を除去するために、低温プラズマ洗浄プロセス210を用いることができる。洗浄プロセス210は、堆積サイクルごと(複数のウェハ同士の間)に行うか、あるいは数枚のウェハごとに行うことができる。好ましくは、洗浄プロセス210では、遠隔励起種ジェネレータ60(図2)内において活性化されるハロゲン化物ソースガス(特にNF3及び/またはCF6)を使用する。好ましくは、洗浄プロセスは、約550℃未満で、さらに好ましくは約500℃未満で、最も好ましくは450℃で行う。プラズマチャンバ洗浄210に続いて、反応物がチャンバからパージされ、ゲートバルブが開かれる。
【0068】
以下の説明から理解されるように、ウェハの低温搬入220および低温ベークステップ240によって、チャンバ洗浄210中にプラズマエネルギーにより与えられる低温を十分に利用可能である。低温搬入プロセス220を行わなければ、次の低温ベーク240により促進され、種々の速度で、チャンバ温度をより高いベーク温度へ昇温する必要がある。
【0069】
プラズマチャンバ洗浄210の後、ウェハのプロセスチャンバ内への搬入220を行い、ウェハ支持物またはサセプタ20(図1)に載置する。好ましい実施形態では、ウェハ上の保護終端が脱着する温度より低温でウェハ加工の合間に、サセプタ20を「空にする」。例えば、水素終端を残すHF終端プロセスに続いて、550℃未満で、好ましくは約500℃未満で、典型的な実施形態では約450℃でサセプタ20を空にする。したがって、サセプタ20は、ここで示した実施形態では搬入220中に、前のプラズマ洗浄210の温度に維持される。
【0070】
有利には、低温搬入220では主に、チャンバゲートバルブが閉じられた後、基板の搬入中にゲートバルブから導入される大気中のどんな汚染物質も除去するチャンバパージ230が始まるまで、ウェハ表面上の水素終端が維持される。パージは、種々の適切なパージガスで行うことができるが、水素ガスで行うことが最も好ましい。望ましくは、入口40から出口42へ、かつ垂下するチューブ26からウェハホルダ20の下側へH2を流す(図1を参照)。典型的なH2の流量は、約20から45slmの範囲内である。
【0071】
この段階におけるウェハ温度が低いことよって、水素終端が実質的に減損する前にチャンバから空気及び水分が除去され、ウェハ表面の酸化が最小限となる。さらに、低温搬入220によって、ウェハの「そり」が少なくなることによりウェハ、ウェハハンドリング用ロボットエンドエフェクタ及びサセプタへの損傷が減少する。ウェハのそりは、比較的低温のウェハとはるかに高温のウェハ支持物とを急に接触させるという熱衝撃に起因する周知の現象である。さらに、低温プラズマ洗浄210と低温ベーク240(後述)とを組み合わせて、低温搬入することによって、ウェハのスループットが著しく改善され得る。
【0072】
パージ230を行った後、低温ベーク240を行う。チャンバのパージ230を行うまで、保護終端を維持すると、相対的にほとんど汚染を除去する必要はなく、その結果、本ベークステップでは、従来のベークステップほど温度を高くしたり長く行う必要もなくなる。さらに、昇温時にチャンバには酸素および水分の大部分がなくなっているので、自然酸化物の問題を悪化させる恐れはなく、非常に急速な昇温を行うことができる。したがって、低温ベーク240には、好ましくは約45秒未満の間、さらに好ましくは約30秒未満の間、最も好ましくは約20秒未満の間、ウェハの温度を急速に上昇させることを含む。200mmウェハを使用した典型的な実施形態では、次の堆積温度を安定させる前に約15秒間のみ昇温を行う。当業者は、ここにおける開示を考慮して、ウェハサイズおよびランプ出力に合わせて昇温時間を調節可能であることを容易に理解するだろう。
【0073】
この低温ベーク240は、表面における酸素および炭素汚染を非常に低いレベルにすると有利である。SIMS分析法を用いた実験は、シリコン表面と次に堆積された層との間の界面における酸素が1018atoms/cm3未満であることを示す。これらの結果は、望ましくはベークプロセスにハロゲン化物エッチング種を加えずに、熱量の消費を非常に低くすることで(低温および短時間で)達成される。望ましい場合には、いくつかの構成において、低温ベークプロセス240にHClを加えると、金属不純物もまた除去可能である。
【0074】
ここに示すように、低温ベーク240の次には、好ましくはその場で低温堆積250が行われ、この低温堆積250を以下にさらに詳述する。堆積250を説明するのに使用する「低温」は、従来のエピタキシャルシリコン堆積温度より下で行われるプロセスのことである。従って、ベークステップの後にさらにウェハを加熱する必要はなく、低下させる必要があるのが典型的である。
【0075】
したがって、そのような条件下でスループットを最大限にするためには堆積250に望ましい温度に安定させる時間を最小限にするように、低温ベーク240を行う。したがって、急速な昇温は、下部ランプ14(図1)に供給される電力に相関関係がある、バイアス電力を上部ランプ13(図1を参照)に供給することにより行われる。例えば、典型的なベーク240では、上部ランプに供給される電力は、上部ランプ電力の、下部ランプ電力に対する「中間」アナログ比より、好ましくは約25%よりも高く、さらに好ましくは約50%よりも高く、ここで、「中間」とは、ウェハ16およびサセプタ20の温度を等しくするように最適化された比のことである。典型的なリアクタ10における典型的なランプ用の中間を超える50%のアナログ比では、上部ランプ13は、フルパワーになっている。したがって、ウェハは、低温ベーク240中に急速加熱されるが、より大きく重いサセプタ20は、それ程急速には高温にならず、したがって温度が低下し次いで堆積温度で安定するのにあまり時間を要さない。
【0076】
実験では、中央熱電対28(図1)が、上部ランプへの15秒の電力スパイク中に約684℃のピーク温度にしか達しないことが分かった。中央熱電対28は、かなり緩やかに作用しウェハから間隔をおいて配置されており、この急速なスパイク中に実際のウェハ温度に影響しない。実際のウェハピーク温度は、約700℃と900℃との間であり、好ましくは約750℃と800℃との間である。しかしながら、ウェハの温度は、このピーク温度では安定せず、むしろ低温ベーク後に安定し、その間に、電力が上部ランプ13(図1)へバイアスされ、ウェハ温度は、絶えず上昇し、温度コントローラは、ウェハを堆積温度に安定させるようにすぐ調節される。しかしながら、基板ホルダ20は、好ましくは750℃未満に、好ましくは約725℃未満に、典型的な実施形態では約700℃未満に到達する。
【0077】
ここで示すように、堆積250は、標準のエピタキシャルシリコンプロセスよりも低く行う。好ましくは、ウェハ温度は、450℃と950℃との間、さらに好ましくは約550℃と800℃との間、最も好ましくは600℃と700℃との間である。部分的にはベーク240を最大限低い温度で急速に行うことによって、温度は、昇温後1分未満で、好ましくはベーク240の後約45秒未満で、所望の堆積温度に安定することが好ましい。典型的なプロセスでは、温度は、ベークステップ240を含めて、45秒で650℃に安定する。対照的に、従来の900℃から650℃のベーク温度から本システム用温度を低下させることに、通常は、ベーク時間を含めずに、約2分かかる。
【0078】
清浄なシリコン表面への低温堆積250が、可能性のあるいくつかのプロセスの1つになり得る。例えば、選択エピタキシャルシリコン堆積は、約650℃と1000℃との間で行う。このようなプロセスは、高くなったソース/ドレイン領域あるいは高くなったエミッタを必要とする回路設計に特に有用である。SiGe堆積は、約625℃と725℃との間で行うことが好ましい。同様の範囲内で、例えば約650℃で、SiGeベースにエピタキシャルシリコン堆積を行うか、またはシリコン酸窒化物(SiOxNy)を化学気相成長させることもできる。当業者は、このようなポストベーク低温堆積プロセスに適する前駆物質および条件を容易に理解するだろう。
【0079】
堆積250に次いで、ゲートバルブを開きながらウェハ16およびサセプタ20の温度を休止温度へ下げることができ、ウェハ16を取り除き、ゲートバルブを閉じて、プラズマチャンバ洗浄210を再開する。SiGeベースをウェハ16上に成長させた場合には、ウェハ16がシリコンエミッタのエピタキシャル成長のために戻される前に、ここで、ウェハ16をさらに処理可能である。あるいは、次いで、低温でサセプタ20へ別のウェハの導入及び載置220を行い、本プロセスを繰り返す。
【0080】
好ましい実施形態では、レシピをリアクタ10のプロセッサに入力し、温度コントローラ(図示せず)は、加熱エレメントの電力の割り当てを決定する目標温度を目指すプログラムが組み込まれる。前の説明の一例として、低温ベークを行うための、以下の典型的なレシピを提供する。まず第1に、プラズマチャンバ洗浄を行う。第2に、ウェハ16をプロセスチャンバ12内へ搬入しウェハ支持物またはサセプタ20上に載置し、それと同時に温度コントローラの設定を350℃にして水素を10slmでチャンバへ供給する。第3に、チャンバ12を密閉し、水素ガスを20slmでプロセスチャンバへ供給し、温度コントローラを450℃に設定する。第4に、チャンバ12を0.8気圧に減圧する。第5に、温度コントローラを、加熱エレメントに送られる最大出力に対応して1100℃に設定し、ベークを15秒間行う。温度コントローラは1100℃に到達させようとしているが、チャンバの温度も基板も、実際には決してその温度に近づかず、概ね700℃から900℃の範囲内にとどまることに注意が重要である。第6に、温度コントローラを680℃に設定し、水素流を45slmに増加させ、圧力を1気圧に増加させ、バックフィルステップを行う。その後に、上述のように、堆積を行う。
【0081】
エピタキシャル層およびヘテロ接合バイポーラトランジスタの形成に付随する問題
本技術において知られているように、エピタキシャル層は、真性またはドープトシリコン、シリコンゲルマニウム、あるいは他の半導体材料から形成可能である。最近、エピタキシャルシリコン−ゲルマニウムベースおよびエピタキシャルシリコンエミッタを有する集積素子の利点が、ますます明らかになってきた。特に、そのような材料は、高周波用途における使用に非常に望ましく、ヘテロ接合バイポーラトランジスタを作り出す際に特に重要である。
【0082】
ヘテロ接合バイポーラトランジスタ(HBTS)は、高周波トランジスタであって、この高周波トランジスタでは、エミッタは、エピタキシャルシリコンで形成可能であり、ベースは、エピタキシャルシリコン−ゲルマニウム合金から構成可能である。シリコン−ゲルマニウム合金(単にシリコン−ゲルマニウムと表現されることが多い)は、シリコンよりも禁止帯幅が狭い。一般的なバイポーラトランジスタは、垂直方向の高さが低くなると、SiGe HBTの特性によって、ますます望ましくなる。
【0083】
ヘテロ接合バイポーラトランジスタのベース用にシリコン−ゲルマニウムを使用することによって、エミッタからベース内へのキャリアの注入効率が高くなり得る。したがって、シリコンーゲルマニウムベース内の不純物濃度が、従来のシリコンベース内における不純物濃度より一桁を超えて高い場合であっても、電流利得「g」は、十分なレベルに到達する。シリコン−ゲルマニウムベースの場合、ベース内のドーピングレベルを十分高くしベース幅を狭くすることにより、高周波における高性能を実現可能である。さらには、遮断周波数が改善される(エミッタ−ベース拡散時間が短くなる)可能性があり、よって、シリコン−ゲルマニウムベース内のゲルマニウムのプロファイルに勾配をつけることにより、さらに高周波数特性が高まる。シリコン−ゲルマニウムバイポーラの相補的な金属−酸化膜−半導体(BiCMOS)の先進技術では、ヘテロ接合バイポーラトランジスタ内においてシリコン−ゲルマニウムベースを使用する。(マルチGHzのような)高周波領域では、GaAsとInPのような従来の化合物半導体が、現在、高速有線または無線通信市場を支配している。シリコン−ゲルマニウム(BiCMOS)は、電力増幅器のような素子内のGaAsに匹敵する性能が約束されるだけではなく、ヘテロ接合バイポーラトランジスタと標準CMOSとを集積化することによって、いわゆる「システムオンチップ」がもたらされ、実質的なコスト削減が約束される。
【0084】
SiGeベース層の1つの欠点は、SiGeベース層に、欠陥のないエピタキシャルエミッタ層を形成することが非常に難しいということである。典型的には、チャンバ内においてメモリ作用によるプロセスの合間のどんな相互汚染も最小限にするためには、下のコレクタ層を形成するプロセスおよび上のエミッタ層を形成するプロセスとは異なるチャンバ内において、SiGeベース層を形成する。これによって、SiGe層の上面に影響する酸化物および他の汚染物質が生じる機会があるのが、不利である。従来のプロセスの下では、高温ベークを行うと、SiGeベース層の結晶構造が過度に緩くなる。当業者は、SiGe層に許容可能な熱量が、準安定層として形成されるかどうかにより異なることを理解するだろう。したがって、SiGeベース層上にエピタキシャル堆積を得るためには、通常、SiGe層が形成された後に汚染を回避する注意を払う必要がある。SiGe上のエピタキシャル層は、完全なHBT構造体が妨げられずに成長する反応室内において製作されるが、これは、ほとんどの集積化スキームには実用的ではない。したがって、敏感なコレクタ−ベースまたはベース−エミッタの界面は、選択成長させたエピタキシャル−ベース、およびポリシリコンエミッタを使用する素子とは異なって、空気または他のプロセス環境には決してさらされない。先行技術を詳述するために、1997年11月20日付エレクトロニクス レターの2050から2052頁の、グルールおよびマーナーによる「低相ノイズマイクロ波発振器に適用される低1/fノイズSiGe HBT」を参照されたい。2001年8月1日付のIEEE BCTM1.1の19から24頁の、グルールによる「SiGeヘテロ接合バイポーラトランジスタを備えたシリコンにおける200GHzについての考察」をさらに参照。
【0085】
現在、SiGe HBTを製作しようとする時に考える必要がある、4つの主な問題がある。第1に、エピタキシャル成長前における界面の清浄度。第2に、エピタキシャルエミッタの成長に必要な熱量および格子構造の保護。第3に、ベース内のゲルマニウムの濃度。第4に、ベースの厚さ。これらの各問題は、本発明の実施形態により扱われる。
【0086】
エピタキシャル成長前における界面の清浄度
シリコンゲルマニウムベースをシリコン基板上に成長させた後、そのSiGeベース上にシリコン含有エミッタをエピタキシャル成長させることが望ましい。プロセス相互の汚染を回避するためには、1つの反応室内においてシリコン基板にシリコン−ゲルマニウムベースを堆積させることが望ましく、その後、その反応室からウェハを取り除き、シリコンエミッタを成長させる前にさらにウェハの処理を行うことができる。ベースの堆積とエミッタの堆積との間の期間中に、酸化物および炭素の汚染物質が、SiGeベース表面に発生し、それによって、シリコンエミッタのエピタキシャル成長が妨げられる。シリコンゲルマニウムベース上の非常に小さな汚染さえ、エピタキシャルエミッタの形成を妨害する恐れがある。エピタキシャル成長させることとは別に、トランジスタゲート誘電体形成のような他のプロセスでは、薄膜形成前に清浄な表面が必要である。
【0087】
熱量および格子構造
基板を適切に洗浄した後でさえ、基板に高品質のシリコン−ゲルマニウム薄膜を堆積し維持することは、なお大きな難題であった。ゲルマニウムがシリコンの格子定数よりも約4%大きい定数を有することは、周知である。シリコン−ゲルマニウムがシリコン基板上に成長すると、シリコン−ゲルマニウムには、シリコン−ゲルマニウム合金とシリコン基板との間の格子不整合により圧縮歪みが生じる。基板温度が上昇すると、合金薄膜内に転位が生じるのに適するエネルギーとなる不整合歪みエネルギーが、大きくなる。これらの転位は、素子の特性に、特にバイポーラ素子の特性に有害であり、漏れ電流を増加させかつ電圧を低下させてしまう。さらに、ベース層内の結晶転位は、エミッタ層中に広がり、エピタキシャルエミッタの形成を妨げる。この影響によって、シリコンゲルマニウムベース上におけるエピタキシャルエミッタの広範囲の受け入れが妨げられ、主として事実上エミッタが多結晶となった素子を製造業者が製造してしまうことになっていた。したがって、このような転位を最小限にする、シリコン−ゲルマニウムベース上にエピタキシャルエミッタを作り出す方法に対する必要が特にある。
【0088】
HF終端処理でさえ、シリコン含有構造体上におけるエピタキシャルおよび他の堆積では、典型的には、いかなる自然酸化物も除去するプレ堆積ベークステップを用いる。このようなベークステップは、通常還元剤として水素を使用し、したがって「水素ベーク」ステップと呼ばれ、典型的には、長時間比較的高温で行われる。典型的なベーク条件は、750℃で約20分間から、900℃で約1分間までの範囲である。後の高温エピタキシャルプロセスに関連してベークには、さらに高い温度(1000℃から1200℃)を用いることが多い。しかしながら、このようなベークステップは、ベークステップ自体にまたは温度サイクルに時間を要するために、ウェハのスループットを減少させる。さらに、このような、長い時間がかかる高温ベークによって、シャロージャンクションを有する素子の熱消費量が許容不可能になり、その結果、半導体基板中のドーパントの移動が制御不可能となる。したがって、熱量の消費は、あらゆる意味合いでベークステップには不利であるが、特にSiGeベース層表面の洗浄には不利であり、このSiGeベース層表面は、上記のように、長時間高温にさらされると過度な緩みが生じてしまう。
【0089】
ベークステップによる温度および熱負荷を低減するために、ベークプロセスにハロゲン化物ガスまたは他のエッチング蒸気及び/またはプラズマエネルギーを加えることが提案された。そのようなエッチング化学作用は、いくつかの回路設計には許容可能であるが、ウェハには有害となる可能性がある。
【0090】
したがって、熱消費量を最小限にすると同時に、汚染物質のない環境において水素終端の除去を可能にし、それにより、SiGeベース中における転移を妨げるプロセスに対する要請がある。
【0091】
ゲルマニウム濃度
SiGeベースを形成する際、ゲルマニウム濃度は、コレクタ−ベース界面からベース−エミッタ界面へ直線的に低くなる。典型的な構成では、この勾配の範囲内のゲルマニウム濃度は、コレクタ−ベース界面における20%からベース−エミッタ界面における0%までの範囲である。ゲルマニウム濃度は、高くなると、エピタキシャル成長したSiGe合金の格子構造への応力も増加することから、所定のSiGe層が耐え得る熱量に反比例する。Ge含有量が多いほど、SiGe結晶構造が緩みやすくなる。電気的には、ベース中のゲルマニウム濃度を高くすることは望ましいが、そうすることによって、所定の素子用の熱量が減少する。同様に、所定の熱量に対して、一定のゲルマニウム濃度だけが素子内に存在し得る。したがって、熱消費量を最小限にすると同時に、ベース層中のゲルマニウム濃度の増加を可能にするプロセスに対する要請がある。
【0092】
SiGeベースの厚さ
典型的には、SiGeベースの厚さは、250Åから650Åまでの範囲である。ゲルマニウムの厚さが増すと、エピタキシャル成長したSiGe合金の格子構造に対する応力が増加することから、ゲルマニウムの厚さは、所定のSiGe層が耐え得る熱量に反比例する。SiGe層が厚くなるほど、結晶構造は緩みやすくなる。同様に、所定の熱量に対して、一定のゲルマニウムの厚さのみが可能である。したがって、熱消費量を最小限にすると同時に、ベース層内のゲルマニウム厚さの増加を可能にするプロセスに対する要請がある。
【0093】
エピタキシャル層およびヘテロ接合バイポーラトランジスタの好ましい形成方法
上記のように、エピタキシャルSiGe合金の層を、清浄なシリコン表面に堆積させた後、ここに記述した、SiGeベース上へのエピタキシャルシリコンエミッタ層を次に堆積させるプロセスが、提供される。図6に、本発明の好ましい実施形態に係る、エピタキシャルエミッタの形成プロセスを示すフローチャート全体を図示する。第1のステップ260では、最初に基板16上にシリコン−ゲルマニウム合金のエピタキシャルベース層を形成する。図5に示したように、このベース層は、低温堆積250によって形成することが好ましい。通常の処理を通じて、この基板16は、従来は、反応室12内において、あるいは別の半導体加工装置(図示せず)から化学気相成長リアクタ10内へ搬送されている時に、酸素にさらされている可能性がある。次に、基板16の反応室12内への移動270を行い、低温ベーク280を行う。低温ベークステップ280を既述のように行い、好ましくは熱量を消耗せずに、基板16の表面から酸素および炭素汚染をほぼすべて効果的に取り除く。ここで示したように、低温ベーク280の次に、実質的に前述のように、好ましくは同じ場所で低温堆積を行う。このステップの間、エミッタのエピタキシャル成長290を行う。好ましくは、エミッタは、Siから形成するが、SiCからも形成可能である。
【0094】
本発明の方法に係る、SiGeベースの処理を行うことによって、ゲルマニウムの熱量は、消耗されない。これらのプロセスが低温であるという特徴によってもまた、ベース層中においてゲルマニウムの濃度を高くしかつ厚さを増すことができる。したがって、応力を受けたSiGe層の結晶格子構造体は、緩まず、転位は、ベース層中に現われない。有利には、格段に清浄な面と相俟って、転位がないことによって、シリコンまたはシリコン−炭素エミッタ層が、結晶構造が緩まずにSiGeベース層上にエピタキシャル成長可能である。
【0095】
好ましくは、シリコンゲルマニウム層の厚さは、少なくとも約250Åにすることができ、さらに好ましくは約650Åを超える厚さにすることができる。さらに、別個のチャンバは、SiGeベース層および次のシリコン含有エミッタを堆積させるのに使用されるのが最も好ましい。そのように、メモリ作用およびその結果の汚染は、各堆積用の専用のプロセスチャンバを使用して回避可能である。同時に、チャンバ間の搬送による酸化物および他の汚染物質は、開示した低温ベークにより洗浄可能であり、歪んだSiGe層が緩む危険がない。
【0096】
ここに記述したプロセスによって、後の堆積に非常に清浄なシリコン表面をなお提供すると同時に、低温のおよび急速な水素ベークが可能になる。したがって、シャロージャンクションは、それらの完全性を維持可能である。有利には、ここで、SiGe HBT(BiCMOS)素子は、高い割合のスループットを維持しながら、作り出すことができる。さらに、同じ場所で行われる、説明した低温ベークと比較的低温の堆積とを組み合わせることによって、ウェハのスループットにおいて他の多数の改善を行い、操作の全体的なコストを低くすることができる。低温プラズマチャンバ洗浄は、上記のものと組み合わせて、スループットにさらに利点を提供し、また、最新式の半導体製造に望ましい純度をなお維持する。
【0097】
本発明の範囲を逸脱せずに、様々な変形および変更を行なうことができることを、当業者は理解するだろう。添付の特許請求の範囲により限定されるように、同様の他の変形および変更は、本発明の範囲以内にあるものとする。
【図面の簡単な説明】
【0098】
【図1】典型的な枚葉式反応チャンバの概略断面図である。
【図2】本発明の好ましい実施形態に係るガスソースを示す、ガス流の概略図である。
【図3】複数の温度センサおよび温度コントローラと関連付けられたウェハの概略平面図である。
【図4】プロセスチャンバ内への導入前にウェハを洗浄する好ましい方法の概略を示すフローチャートである。
【図5】好ましい実施形態に係るプロセスの概略を示すフローチャートである。
【図6】好ましい実施形態に係るエピタキシャルエミッタを形成するプロセスの概略を示すフローチャートである。
Claims (63)
- 露出されて酸化しやすい半導体領域を有する半導体基板を処理する方法であって、
約550℃未満で化学気相成長反応室内の基板支持物に基板を載置するステップと、
45秒未満の間還元環境のベークに基板をさらすステップと、
ベーク後に基板の温度を安定させるステップと、
温度を安定させた後、前記半導体領域上に直接化学気相成長法によって層を堆積させるステップとを含む半導体基板処理方法。 - 前記基板載置ステップは、前記基板支持物を約500℃未満に維持することを含む請求項1に記載の方法。
- 前記基板載置ステップは、前記基板支持物を約450℃未満に維持することを含む請求項2に記載の方法。
- 前記基板ベークステップは、ハロゲン化物種がない状態で水素に基板をさらすことを含む請求項1に記載の方法。
- 前記基板ベークステップは、約30秒未満の間基板温度を上げることを含む請求項4に記載の方法。
- 前記基板温度安定化ステップは、基板温度を上げた直後に基板温度を下げることを含む請求項5に記載の方法。
- 前記基板温度安定化ステップは、基板温度を約550℃と800℃との間の温度に下げることを含む請求項6に記載の方法。
- 前記基板温度安定化ステップは、基板温度を約600℃と700℃との間の温度に下げることを含む請求項7に記載の方法。
- 前記層堆積ステップは、選択エピタキシャルプロセスを含む請求項6に記載の方法。
- 前記層堆積ステップは、集積素子用エピタキシャルエミッタを形成することを含む請求項1に記載の方法。
- 前記層堆積ステップは、シリコンゲルマニウム合金上にエピタキシャルエミッタを堆積させることを含む請求項1に記載の方法。
- 前記層堆積ステップは、シリコンを堆積させることを含む請求項11に記載の方法。
- 前記層堆積ステップは、炭化ケイ素を堆積させることを含む請求項11に記載の方法。
- 前記基板ベークステップは、約20秒未満の間基板温度を上げることを含む請求項6に記載の方法。
- 前記基板ベークステップは、基板温度を700℃より高い温度に上げることを含む請求項14に記載の方法。
- 前記基板ベークステップは、基板温度を約700℃と900℃との間の温度に上げることを含む請求項15に記載の方法。
- 前記基板ベークステップは、前記基板ホルダの温度上昇速度より大きな速度で基板の温度を上げることを含む請求項1に記載の方法。
- 前記基板ベークステップは、基板を約750℃より高温にし、前記基板ホルダを750℃より高温にはしないことを含む請求項17に記載の方法。
- 前記基板ベークステップは、ランプの上部バンクと下部バンクとの間の中間電力比と比較してより高い電力比を前記ランプの上部バンクに供給することを含み、前記中間電力比は、基板温度を前記基板ホルダと同じ温度に維持するように最適化される請求項17に記載の方法。
- 高い方の電力比は、中間比より25%高い請求項19に記載の方法。
- 還元環境は、水素を含む請求項1に記載の方法。
- 前記基板支持物へ基板を載置する前に550℃未満で反応室を洗浄することをさらに含む請求項1に記載の方法。
- 前記洗浄は、反応室をプラズマにさらすことを含む請求項22に記載の方法。
- 前記洗浄は、反応室をハロゲン化物含有プラズマにさらすことを含む請求項23に記載の方法。
- 前記洗浄は、反応室をフッ素含有プラズマにさらすことを含む請求項24に記載の方法。
- 前記洗浄は、前記基板支持物を500℃を超えない温度に維持することを含む請求項22に記載の方法。
- 半導体基板上における化学気相成長システムであって、
コールドウォール型反応室と、
反応室にプラズマ生成物を給送するために接続されたプラズマソースと、
反応室内に収容されたサセプタと、
反応室内外へ基板を搬送するように構成されたロボットと、
前記サセプタ上において基板を加熱するように形成された、複数の加熱エレメントと、
ロボット、加熱エレメント、および反応室が基板を保持していない時に500℃未満の温度で反応室をプラズマ洗浄するプラズマソースを制御し、プラズマ洗浄を行った後に反応室内のサセプタに基板を載置し、基板への堆積を行うようにプログラムされたコンピュータと、を備えた化学気相成長システム。 - 前記コンピュータは、サセプタに基板を載置した後に基板温度を約500℃未満から約500℃を超える温度に上げるようにプログラムされている請求項27に記載のシステム。
- 前記コンピュータは、基板温度を上げている間に反応室内へ還元剤を流すために反応室に連結されたガスライン上の流体制御装置を制御するようにさらにプログラムされている請求項28に記載のシステム。
- 前記コンピュータは、基板の温度を上げた直後に化学気相成長方法に適する温度に基板温度を安定させるようにさらにプログラムされている請求項29に記載のシステム。
- 基板温度を安定させることは、基板温度が上昇し始める約30秒未満以内で化学気相成長に適する温度へ基板の温度を下げることを含む請求項30に記載のシステム。
- 半導体基板上における化学気相成長システムであって、
反応室内にプラズマ生成物を給送するために連結されたプラズマソースと、
反応室内に収容されたサセプタと、
反応室内外へ水素が終端となった基板を搬送するように構成されたロボットと、
サセプタ上の基板を加熱するように構成された複数の加熱エレメントと、
第1に、ロボット、加熱エレメント、および水素が基板から脱着する温度より低い温度で反応室をプラズマ洗浄するプラズマソースの制御をし、第2にプラズマ洗浄を行った後に反応室内のサセプタへ基板を載置し、第3に基板上に化学気相成長するようにプログラムされたコンピュータと、を備える化学気相成長システム。 - 前記コンピュータは、基板をサセプタへ載置した後に基板の温度を、水素が基板から脱着する温度より低い温度から、水素が基板から脱着する温度へ上げるようにプログラムされている請求項32に記載のシステム。
- 前記コンピュータは、基板温度を上げている間に、反応室内へ還元剤を流すために反応室に連結されたガスライン上の流量制御装置を制御するようにさらにプログラムされている請求項33に記載のシステム。
- 前記コンピュータは、基板温度を上げた直後に、化学気相成長に適する温度に基板温度を安定させるようにさらにプログラムされている請求項34に記載のシステム。
- 基板温度の安定化は、基板の温度が上がり始める約30秒未満以内に、化学気相成長に適する温度へ基板温度を下げることを含む請求項35に記載のシステム。
- シリコン−ゲルマニウム層上にシリコン含有層をエピタキシャル成長させる方法であって、
第1の反応室内にかつ反応室内に収容されたサセプタの上に、シリコン−ゲルマニウム層を有するシリコン基板を挿入するステップと、
基板をベークするステップと、
シリコン−ゲルマニウム層の上にシリコン含有層をエピタキシャル形成するステップとを含む、シリコン含有層のエピタキシャル成長法。 - 前記挿入ステップは、水素が脱着する温度より低い温度にサセプタを維持することを含む請求項37に記載の方法。
- 前記挿入ステップは、サセプタを約500℃未満に維持することを含む請求項38に記載の方法。
- 前記挿入ステップは、サセプタを約450℃未満に維持することを含む請求項39に記載の方法。
- 前記基板ベークステップは、ハロゲン化物種がない状態で水素に基板をさらすことを含む請求項39に記載の方法。
- 前記ベークの所要時間は、約30秒未満を含む請求項41に記載の方法。
- 基板をベークした直後に、基板温度を許容可能なエピタキシー温度へ低下させることをさらに含む請求項42に記載の方法。
- 基板温度は、約550℃と800℃との間の温度へ下げられる請求項43に記載の方法。
- 基板温度は、約600℃と700℃との間の温度へ下げられる請求項44に記載の方法。
- 前記シリコン含有層形成ステップは、選択エピタキシャルプロセスを含む請求項37に記載の方法。
- 前記エミッタ層形成ステップは、集積素子用の、高くなったエミッタを形成することを含む請求項37に記載の方法。
- 前記基板ベークステップは、約20秒未満の間基板温度を上げることを含む請求項37に記載の方法。
- 前記基板ベークステップは、基板温度を700℃より高くすることを含む請求項48に記載の方法。
- 前記基板ベークステップは、基板温度を、約700℃と900℃との間の温度へ上昇させることを含む請求項48に記載の方法。
- 前記基板ベークステップは、基板ホルダの昇温速度より高い昇温速度で基板温度を上昇させることを含む請求項38に記載の方法。
- 前記基板ベークステップは、基板を約750℃より高い温度にし、サセプタを約750℃より高い温度にはしないことを含む請求項51に記載の方法。
- 前記基板ベークステップは、ランプの上部バンクと下部バンクとの間の中間電力比と比較して高い電力比をランプの上部バンクへ供給することを含み、中間電力比は、サセプタと同じ温度に基板を維持するように最適化される請求項37に記載の方法。
- 高い方の電力比は、中間比より25%を超える高さである請求項53に記載の方法。
- 前記サセプタ上に基板を載置する前に550℃未満の温度で反応室を洗浄することをさらに含む請求項37に記載の方法。
- 前記洗浄は、反応室をハロゲン化物含有プラズマにさらすことを含む請求項55に記載の方法。
- 前記洗浄は、反応室をフッ素含有プラズマにさらすことを含む請求項55に記載の方法。
- 前記洗浄は、サセプタを約500℃未満に維持することを含む請求項55に記載の方法。
- 反応室内に、半導体表面が露出した基板を載置するステップと、
45秒未満の間、半導体表面から酸化物を取り除くためにベークするステップと、
半導体表面上に半導体層をエピタキシャル成長させるステップとを含む、半導体処理方法。 - 前記基板挿入ステップは、約550℃未満で基板ホルダに基板を載置することを含む請求項59に記載の方法。
- 前記基板挿入ステップは、約500℃未満で基板ホルダに基板を載置することを含む請求項59に記載の方法。
- 露出した半導体表面は、単結晶シリコンゲルマニウム層を含む請求項61に記載の方法。
- 前記エピタキシャル層成長ステップは、シリコンゲルマニウム層上にシリコン含有エミッタ層を成長させることを含む請求項62に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US29438501P | 2001-05-30 | 2001-05-30 | |
PCT/US2002/016903 WO2002097864A2 (en) | 2001-05-30 | 2002-05-29 | Low temperature load and bake |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004533118A true JP2004533118A (ja) | 2004-10-28 |
JP2004533118A5 JP2004533118A5 (ja) | 2005-12-22 |
Family
ID=23133183
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003500951A Pending JP2004533118A (ja) | 2001-05-30 | 2002-05-29 | 低温搬入出およびベーク |
Country Status (7)
Country | Link |
---|---|
US (3) | US7108748B2 (ja) |
EP (1) | EP1393361A2 (ja) |
JP (1) | JP2004533118A (ja) |
KR (2) | KR20040008193A (ja) |
AU (1) | AU2002305733A1 (ja) |
TW (1) | TWI307912B (ja) |
WO (1) | WO2002097864A2 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006526277A (ja) * | 2003-03-13 | 2006-11-16 | エーエスエム アメリカ インコーポレイテッド | エピタキシャル半導体膜の成長方法及び構造 |
JP2007300115A (ja) * | 2006-05-04 | 2007-11-15 | Siltronic Ag | 層構造の製造方法 |
JP2009506538A (ja) * | 2005-08-23 | 2009-02-12 | エーエスエム アメリカ インコーポレイテッド | シリコン表面の調製 |
JP2010508661A (ja) * | 2006-11-01 | 2010-03-18 | エーエスエム アメリカ インコーポレイテッド | 金属炭化物膜の気相成長 |
JP2010111889A (ja) * | 2008-11-04 | 2010-05-20 | Tokyo Electron Ltd | 成膜方法および成膜装置、ならびに記憶媒体 |
JP2010147350A (ja) * | 2008-12-19 | 2010-07-01 | Sumco Techxiv株式会社 | エピタキシャルウェーハの製造方法及び製造装置 |
JP2011508981A (ja) * | 2008-01-04 | 2011-03-17 | エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ | 半導体基板のhf処理におけるウォーターマークの低減 |
JP2012054613A (ja) * | 2005-02-04 | 2012-03-15 | Asm America Inc | シリコン含有膜の選択的堆積 |
Families Citing this family (112)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2002019363A2 (en) * | 2000-08-28 | 2002-03-07 | Applied Materials, Inc. | Pre-polycoating of glass substrates |
JP2002129334A (ja) * | 2000-10-26 | 2002-05-09 | Applied Materials Inc | 気相堆積装置のクリーニング方法及び気相堆積装置 |
EP1421607A2 (en) | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
KR20040008193A (ko) * | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
US20030111013A1 (en) * | 2001-12-19 | 2003-06-19 | Oosterlaken Theodorus Gerardus Maria | Method for the deposition of silicon germanium layers |
US7220312B2 (en) * | 2002-03-13 | 2007-05-22 | Micron Technology, Inc. | Methods for treating semiconductor substrates |
US6825134B2 (en) * | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP2003297848A (ja) * | 2002-04-01 | 2003-10-17 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US6861321B2 (en) | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
KR100429296B1 (ko) * | 2002-09-09 | 2004-04-29 | 한국전자통신연구원 | 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법 |
CN100442441C (zh) * | 2002-10-03 | 2008-12-10 | Nxp股份有限公司 | 形成外延层的方法和设备 |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US7682947B2 (en) | 2003-03-13 | 2010-03-23 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7258895B2 (en) * | 2003-08-06 | 2007-08-21 | Micron Technology, Inc. | Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate |
DE10337568A1 (de) * | 2003-08-14 | 2005-03-17 | Infineon Technologies Ag | Gasversorgungsanordnung, insbesondere für einen CVD-Prozessreaktor zum Aufwachsen einer Epitaxieschicht |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US8501594B2 (en) | 2003-10-10 | 2013-08-06 | Applied Materials, Inc. | Methods for forming silicon germanium layers |
KR100753740B1 (ko) | 2003-12-11 | 2007-08-31 | 가부시키가이샤 섬코 | 에피택셜 웨이퍼 및 그 제조 방법 |
US6958286B2 (en) * | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US7078302B2 (en) | 2004-02-23 | 2006-07-18 | Applied Materials, Inc. | Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7682940B2 (en) * | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) * | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) * | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US8076252B2 (en) * | 2005-07-28 | 2011-12-13 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
WO2007035660A1 (en) * | 2005-09-20 | 2007-03-29 | Applied Materials, Inc. | Method to form a device on a soi substrate |
US20070065578A1 (en) * | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
JP5137366B2 (ja) * | 2006-01-24 | 2013-02-06 | 株式会社日立国際電気 | 基板処理システム及び液体材料供給装置 |
US7964514B2 (en) * | 2006-03-02 | 2011-06-21 | Applied Materials, Inc. | Multiple nitrogen plasma treatments for thin SiON dielectrics |
US7901968B2 (en) * | 2006-03-23 | 2011-03-08 | Asm America, Inc. | Heteroepitaxial deposition over an oxidized surface |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
JP4894390B2 (ja) * | 2006-07-25 | 2012-03-14 | 信越半導体株式会社 | 半導体基板の製造方法 |
KR101160930B1 (ko) * | 2006-07-31 | 2012-06-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 카본-함유 실리콘 에피택셜 층을 형성하는 방법 |
DE112007001813T5 (de) * | 2006-07-31 | 2009-07-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht |
US7789965B2 (en) * | 2006-09-19 | 2010-09-07 | Asm Japan K.K. | Method of cleaning UV irradiation chamber |
US20080124874A1 (en) * | 2006-11-03 | 2008-05-29 | Samsung Electronics Co., Ltd. | Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions |
US20080153266A1 (en) * | 2006-12-21 | 2008-06-26 | Interuniversitair Microeletronica Centrum (Imec) Vzw | Method to improve the selective epitaxial growth (seg) process |
US20080289650A1 (en) * | 2007-05-24 | 2008-11-27 | Asm America, Inc. | Low-temperature cleaning of native oxide |
US20080314311A1 (en) * | 2007-06-24 | 2008-12-25 | Burrows Brian H | Hvpe showerhead design |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
CN102017056B (zh) * | 2008-05-02 | 2013-11-20 | 东电电子太阳能股份公司 | 用于衬底的等离子体处理的等离子体处理设备和方法 |
US7871937B2 (en) | 2008-05-16 | 2011-01-18 | Asm America, Inc. | Process and apparatus for treating wafers |
JP4997605B2 (ja) * | 2008-06-09 | 2012-08-08 | 独立行政法人産業技術総合研究所 | コールドウォール型熱処理炉および絶縁膜形成装置 |
JP5412759B2 (ja) * | 2008-07-31 | 2014-02-12 | 株式会社Sumco | エピタキシャルウェーハの保持具及びそのウェーハの製造方法 |
KR100953736B1 (ko) * | 2009-07-27 | 2010-04-19 | 주식회사 아토 | 증착 장치 및 반도체 소자의 제조 방법 |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8528405B2 (en) * | 2009-12-04 | 2013-09-10 | The Charles Stark Draper Laboratory, Inc. | Flexure assemblies and methods for manufacturing and using the same |
US9650726B2 (en) * | 2010-02-26 | 2017-05-16 | Applied Materials, Inc. | Methods and apparatus for deposition processes |
US9240513B2 (en) * | 2010-05-14 | 2016-01-19 | Solarcity Corporation | Dynamic support system for quartz process chamber |
US9441295B2 (en) | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
EP2588650A4 (en) * | 2010-07-02 | 2014-03-19 | Matheson Tri Gas Inc | SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS |
US8778816B2 (en) * | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
US9885123B2 (en) | 2011-03-16 | 2018-02-06 | Asm America, Inc. | Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow |
US8466502B2 (en) | 2011-03-24 | 2013-06-18 | United Microelectronics Corp. | Metal-gate CMOS device |
US8445363B2 (en) | 2011-04-21 | 2013-05-21 | United Microelectronics Corp. | Method of fabricating an epitaxial layer |
US8426284B2 (en) | 2011-05-11 | 2013-04-23 | United Microelectronics Corp. | Manufacturing method for semiconductor structure |
US8481391B2 (en) | 2011-05-18 | 2013-07-09 | United Microelectronics Corp. | Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US8716750B2 (en) | 2011-07-25 | 2014-05-06 | United Microelectronics Corp. | Semiconductor device having epitaxial structures |
US8575043B2 (en) | 2011-07-26 | 2013-11-05 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US8647941B2 (en) | 2011-08-17 | 2014-02-11 | United Microelectronics Corp. | Method of forming semiconductor device |
US8674433B2 (en) | 2011-08-24 | 2014-03-18 | United Microelectronics Corp. | Semiconductor process |
US8476169B2 (en) | 2011-10-17 | 2013-07-02 | United Microelectronics Corp. | Method of making strained silicon channel semiconductor structure |
US8691659B2 (en) | 2011-10-26 | 2014-04-08 | United Microelectronics Corp. | Method for forming void-free dielectric layer |
US8754448B2 (en) | 2011-11-01 | 2014-06-17 | United Microelectronics Corp. | Semiconductor device having epitaxial layer |
US8647953B2 (en) | 2011-11-17 | 2014-02-11 | United Microelectronics Corp. | Method for fabricating first and second epitaxial cap layers |
US8709930B2 (en) | 2011-11-25 | 2014-04-29 | United Microelectronics Corp. | Semiconductor process |
US9093269B2 (en) * | 2011-12-20 | 2015-07-28 | Asm America, Inc. | In-situ pre-clean prior to epitaxy |
KR101916226B1 (ko) | 2011-12-29 | 2018-11-08 | 엘지이노텍 주식회사 | 증착 장치 및 증착 방법 |
US9127345B2 (en) | 2012-03-06 | 2015-09-08 | Asm America, Inc. | Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent |
US9136348B2 (en) | 2012-03-12 | 2015-09-15 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
US9202914B2 (en) | 2012-03-14 | 2015-12-01 | United Microelectronics Corporation | Semiconductor device and method for fabricating the same |
US8664069B2 (en) | 2012-04-05 | 2014-03-04 | United Microelectronics Corp. | Semiconductor structure and process thereof |
US8866230B2 (en) | 2012-04-26 | 2014-10-21 | United Microelectronics Corp. | Semiconductor devices |
US8835243B2 (en) | 2012-05-04 | 2014-09-16 | United Microelectronics Corp. | Semiconductor process |
US8951876B2 (en) | 2012-06-20 | 2015-02-10 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US8796695B2 (en) | 2012-06-22 | 2014-08-05 | United Microelectronics Corp. | Multi-gate field-effect transistor and process thereof |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
US9171715B2 (en) | 2012-09-05 | 2015-10-27 | Asm Ip Holding B.V. | Atomic layer deposition of GeO2 |
US8710632B2 (en) | 2012-09-07 | 2014-04-29 | United Microelectronics Corp. | Compound semiconductor epitaxial structure and method for fabricating the same |
US9330899B2 (en) | 2012-11-01 | 2016-05-03 | Asm Ip Holding B.V. | Method of depositing thin film |
CN102931279B (zh) * | 2012-11-14 | 2015-09-30 | 东方电气集团(宜兴)迈吉太阳能科技有限公司 | 一种晶体硅太阳能电池制掩膜的设备 |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9117925B2 (en) | 2013-01-31 | 2015-08-25 | United Microelectronics Corp. | Epitaxial process |
US8753902B1 (en) | 2013-03-13 | 2014-06-17 | United Microelectronics Corp. | Method of controlling etching process for forming epitaxial structure |
US9034705B2 (en) | 2013-03-26 | 2015-05-19 | United Microelectronics Corp. | Method of forming semiconductor device |
US9064893B2 (en) | 2013-05-13 | 2015-06-23 | United Microelectronics Corp. | Gradient dopant of strained substrate manufacturing method of semiconductor device |
US8853060B1 (en) | 2013-05-27 | 2014-10-07 | United Microelectronics Corp. | Epitaxial process |
US9076652B2 (en) | 2013-05-27 | 2015-07-07 | United Microelectronics Corp. | Semiconductor process for modifying shape of recess |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
US8895396B1 (en) | 2013-07-11 | 2014-11-25 | United Microelectronics Corp. | Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures |
US9040385B2 (en) * | 2013-07-24 | 2015-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for cleaning substrate surface for hybrid bonding |
US8981487B2 (en) | 2013-07-31 | 2015-03-17 | United Microelectronics Corp. | Fin-shaped field-effect transistor (FinFET) |
CN103484933A (zh) * | 2013-10-22 | 2014-01-01 | 西安电子科技大学 | 外延化学气相淀积设备的清洗方法 |
JP2015122481A (ja) * | 2013-11-22 | 2015-07-02 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US9218963B2 (en) | 2013-12-19 | 2015-12-22 | Asm Ip Holding B.V. | Cyclical deposition of germanium |
JP2017518626A (ja) | 2015-02-17 | 2017-07-06 | ソーラーシティ コーポレーション | 太陽電池の製造歩留まりを向上させる方法及びシステム |
US20160359080A1 (en) | 2015-06-07 | 2016-12-08 | Solarcity Corporation | System, method and apparatus for chemical vapor deposition |
US9741852B2 (en) * | 2015-08-05 | 2017-08-22 | United Microelectronics Corp. | Manufacturing method of semiconductor structure |
US10453925B2 (en) * | 2016-01-29 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial growth methods and structures thereof |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
WO2019173669A2 (en) * | 2018-03-09 | 2019-09-12 | Corning Incorporated | Method for minimizing dent defects in chemically strengthened glass |
FR3090013B1 (fr) | 2018-12-17 | 2020-12-25 | Commissariat A L Energie Atomique Et Aux Energies Alternatives | Procede de fabrication d’une structure monocristalline |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6222420A (ja) * | 1985-07-23 | 1987-01-30 | Canon Inc | 堆積膜形成装置 |
JPS644015A (en) * | 1987-06-26 | 1989-01-09 | Anelva Corp | Thin-film formation device |
JPH06326034A (ja) * | 1993-05-17 | 1994-11-25 | Central Glass Co Ltd | プラズマcvd装置のクリーニング方法 |
JPH07153685A (ja) * | 1993-11-29 | 1995-06-16 | Oki Electric Ind Co Ltd | 歪ヘテロ超格子構造の薄膜形成方法 |
JPH1140506A (ja) * | 1997-07-18 | 1999-02-12 | Shin Etsu Handotai Co Ltd | エピタキシャルウェーハの製造方法 |
JPH11100299A (ja) * | 1997-09-29 | 1999-04-13 | Mitsubishi Materials Silicon Corp | 薄膜エピタキシャルウェーハの製造方法およびこの方法により製造された薄膜エピタキシャルウェーハ |
JP2000260721A (ja) * | 1999-01-08 | 2000-09-22 | Sony Corp | 化学的気相成長装置、化学的気相成長方法および化学的気相成長装置のクリーニング方法 |
JP2001148473A (ja) * | 1999-09-09 | 2001-05-29 | Toshiba Corp | 半導体装置及びその製造方法 |
Family Cites Families (57)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4210925A (en) * | 1978-02-07 | 1980-07-01 | Harris Corporation | I2 L Integrated circuit and process of fabrication |
US5373806A (en) * | 1985-05-20 | 1994-12-20 | Applied Materials, Inc. | Particulate-free epitaxial process |
US4749440A (en) * | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
DE3684539D1 (de) * | 1985-09-06 | 1992-04-30 | Philips Nv | Herstellungsverfahren einer halbleitervorrichtung. |
US5158644A (en) * | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4870030A (en) * | 1987-09-24 | 1989-09-26 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer |
US5319220A (en) * | 1988-01-20 | 1994-06-07 | Sharp Kabushiki Kaisha | Silicon carbide semiconductor device |
JPH0228322A (ja) * | 1988-04-28 | 1990-01-30 | Mitsubishi Electric Corp | 半導体基板の前処理方法 |
US5028973A (en) * | 1989-06-19 | 1991-07-02 | Harris Corporation | Bipolar transistor with high efficient emitter |
JPH03130368A (ja) * | 1989-09-22 | 1991-06-04 | Applied Materials Inc | 半導体ウェーハプロセス装置の洗浄方法 |
US5211796A (en) * | 1990-01-08 | 1993-05-18 | Lst Logic Corporation | Apparatus for performing in-situ etch of CVD chamber |
JP2590295B2 (ja) * | 1990-06-06 | 1997-03-12 | 株式会社東芝 | 半導体装置及びその製造方法 |
KR930007190B1 (ko) * | 1990-08-21 | 1993-07-31 | 삼성전자 주식회사 | 화합물 반도체 장치 |
US5252841A (en) * | 1991-05-09 | 1993-10-12 | Hughes Aircraft Company | Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same |
JP2855908B2 (ja) * | 1991-09-05 | 1999-02-10 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JPH05175216A (ja) * | 1991-12-24 | 1993-07-13 | Rohm Co Ltd | ヘテロ接合バイポーラトランジスタおよびその製法 |
US5425842A (en) * | 1992-06-09 | 1995-06-20 | U.S. Philips Corporation | Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber |
US5326992A (en) * | 1992-07-29 | 1994-07-05 | The United States Of America As Represented By The Secretary Of The Navy | Silicon carbide and SiCAlN heterojunction bipolar transistor structures |
US5285089A (en) * | 1992-12-02 | 1994-02-08 | Kobe Steel U.S.A., Inc. | Diamond and silicon carbide heterojunction bipolar transistor |
JP3299807B2 (ja) * | 1993-04-07 | 2002-07-08 | シャープ株式会社 | ヘテロ接合バイポーラトランジスタ |
US5380370A (en) * | 1993-04-30 | 1995-01-10 | Tokyo Electron Limited | Method of cleaning reaction tube |
JP3292894B2 (ja) * | 1993-05-12 | 2002-06-17 | 日本電信電話株式会社 | 集積化受光回路 |
EP0637063B1 (en) * | 1993-07-30 | 1999-11-03 | Applied Materials, Inc. | Method for depositing silicon nitride on silicium surfaces |
US5421957A (en) * | 1993-07-30 | 1995-06-06 | Applied Materials, Inc. | Low temperature etching in cold-wall CVD systems |
US5422502A (en) * | 1993-12-09 | 1995-06-06 | Northern Telecom Limited | Lateral bipolar transistor |
JPH07169693A (ja) * | 1993-12-16 | 1995-07-04 | Mitsubishi Electric Corp | 横型減圧cvd装置及びそのクリーニング方法 |
JP2611640B2 (ja) * | 1993-12-20 | 1997-05-21 | 日本電気株式会社 | ヘテロ接合バイポーラトランジスタ |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5609721A (en) * | 1994-03-11 | 1997-03-11 | Fujitsu Limited | Semiconductor device manufacturing apparatus and its cleaning method |
US5496745A (en) * | 1994-12-19 | 1996-03-05 | Electronics And Telecommunications Research Institute | Method for making bipolar transistor having an enhanced trench isolation |
JPH08236540A (ja) * | 1995-03-01 | 1996-09-13 | Mitsubishi Electric Corp | 半導体装置の製造方法、及び半導体装置 |
JPH08306700A (ja) * | 1995-04-27 | 1996-11-22 | Nec Corp | 半導体装置及びその製造方法 |
US5665614A (en) * | 1995-06-06 | 1997-09-09 | Hughes Electronics | Method for making fully self-aligned submicron heterojunction bipolar transistor |
US6060397A (en) * | 1995-07-14 | 2000-05-09 | Applied Materials, Inc. | Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus |
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
DE19533313A1 (de) * | 1995-09-08 | 1997-03-13 | Max Planck Gesellschaft | Halbleiterstruktur für einen Transistor |
US5693147A (en) * | 1995-11-03 | 1997-12-02 | Motorola, Inc. | Method for cleaning a process chamber |
US5783495A (en) * | 1995-11-13 | 1998-07-21 | Micron Technology, Inc. | Method of wafer cleaning, and system and cleaning solution regarding same |
US5998305A (en) * | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
JP3400293B2 (ja) * | 1996-05-01 | 2003-04-28 | 株式会社東芝 | Cvd装置及びそのクリーニング方法 |
US6058945A (en) * | 1996-05-28 | 2000-05-09 | Canon Kabushiki Kaisha | Cleaning methods of porous surface and semiconductor surface |
US6043519A (en) * | 1996-09-12 | 2000-03-28 | Hughes Electronics Corporation | Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication |
JP2950272B2 (ja) * | 1997-01-24 | 1999-09-20 | 日本電気株式会社 | 半導体薄膜の製造方法 |
US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
US5859447A (en) * | 1997-05-09 | 1999-01-12 | Yang; Edward S. | Heterojunction bipolar transistor having heterostructure ballasting emitter |
FR2764118B1 (fr) * | 1997-05-30 | 2000-08-04 | Thomson Csf | Transistor bipolaire stabilise avec elements isolants electriques |
US6095159A (en) * | 1998-01-22 | 2000-08-01 | Micron Technology, Inc. | Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities |
US6294466B1 (en) * | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
US6221168B1 (en) * | 1998-06-16 | 2001-04-24 | Fsi International, Inc. | HF/IPA based process for removing undesired oxides form a substrate |
US6593211B2 (en) * | 1998-09-04 | 2003-07-15 | Canon Kabushiki Kaisha | Semiconductor substrate and method for producing the same |
JP3478141B2 (ja) * | 1998-09-14 | 2003-12-15 | 信越半導体株式会社 | シリコンウエーハの熱処理方法及びシリコンウエーハ |
JP3671418B2 (ja) * | 1998-10-29 | 2005-07-13 | 信越半導体株式会社 | 半導体ウェーハの製造方法 |
US6450116B1 (en) * | 1999-04-22 | 2002-09-17 | Applied Materials, Inc. | Apparatus for exposing a substrate to plasma radicals |
US6190453B1 (en) * | 1999-07-14 | 2001-02-20 | Seh America, Inc. | Growth of epitaxial semiconductor material with improved crystallographic properties |
US6316795B1 (en) * | 2000-04-03 | 2001-11-13 | Hrl Laboratories, Llc | Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors |
JP3557457B2 (ja) * | 2001-02-01 | 2004-08-25 | 東北大学長 | SiC膜の製造方法、及びSiC多層膜構造の製造方法 |
KR20040008193A (ko) * | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
-
2002
- 2002-05-29 KR KR10-2003-7015436A patent/KR20040008193A/ko active IP Right Grant
- 2002-05-29 JP JP2003500951A patent/JP2004533118A/ja active Pending
- 2002-05-29 EP EP02734576A patent/EP1393361A2/en not_active Withdrawn
- 2002-05-29 US US10/158,393 patent/US7108748B2/en not_active Expired - Lifetime
- 2002-05-29 KR KR1020087027520A patent/KR20080103609A/ko not_active Application Discontinuation
- 2002-05-29 AU AU2002305733A patent/AU2002305733A1/en not_active Abandoned
- 2002-05-29 TW TW091111438A patent/TWI307912B/zh active
- 2002-05-29 WO PCT/US2002/016903 patent/WO2002097864A2/en active Application Filing
-
2006
- 2006-02-10 US US11/352,738 patent/US7837795B2/en not_active Expired - Lifetime
- 2006-05-12 US US11/433,535 patent/US7462239B2/en not_active Expired - Lifetime
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6222420A (ja) * | 1985-07-23 | 1987-01-30 | Canon Inc | 堆積膜形成装置 |
JPS644015A (en) * | 1987-06-26 | 1989-01-09 | Anelva Corp | Thin-film formation device |
JPH06326034A (ja) * | 1993-05-17 | 1994-11-25 | Central Glass Co Ltd | プラズマcvd装置のクリーニング方法 |
JPH07153685A (ja) * | 1993-11-29 | 1995-06-16 | Oki Electric Ind Co Ltd | 歪ヘテロ超格子構造の薄膜形成方法 |
JPH1140506A (ja) * | 1997-07-18 | 1999-02-12 | Shin Etsu Handotai Co Ltd | エピタキシャルウェーハの製造方法 |
JPH11100299A (ja) * | 1997-09-29 | 1999-04-13 | Mitsubishi Materials Silicon Corp | 薄膜エピタキシャルウェーハの製造方法およびこの方法により製造された薄膜エピタキシャルウェーハ |
JP2000260721A (ja) * | 1999-01-08 | 2000-09-22 | Sony Corp | 化学的気相成長装置、化学的気相成長方法および化学的気相成長装置のクリーニング方法 |
JP2001148473A (ja) * | 1999-09-09 | 2001-05-29 | Toshiba Corp | 半導体装置及びその製造方法 |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006526277A (ja) * | 2003-03-13 | 2006-11-16 | エーエスエム アメリカ インコーポレイテッド | エピタキシャル半導体膜の成長方法及び構造 |
JP4782670B2 (ja) * | 2003-03-13 | 2011-09-28 | エーエスエム アメリカ インコーポレイテッド | エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム |
JP2012054613A (ja) * | 2005-02-04 | 2012-03-15 | Asm America Inc | シリコン含有膜の選択的堆積 |
JP2009506538A (ja) * | 2005-08-23 | 2009-02-12 | エーエスエム アメリカ インコーポレイテッド | シリコン表面の調製 |
US8765606B2 (en) | 2005-08-23 | 2014-07-01 | Asm America, Inc. | Silicon surface preparation |
JP2007300115A (ja) * | 2006-05-04 | 2007-11-15 | Siltronic Ag | 層構造の製造方法 |
JP4700652B2 (ja) * | 2006-05-04 | 2011-06-15 | ジルトロニック アクチエンゲゼルシャフト | 層構造の製造方法 |
JP2010508661A (ja) * | 2006-11-01 | 2010-03-18 | エーエスエム アメリカ インコーポレイテッド | 金属炭化物膜の気相成長 |
JP2011508981A (ja) * | 2008-01-04 | 2011-03-17 | エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ | 半導体基板のhf処理におけるウォーターマークの低減 |
JP2010111889A (ja) * | 2008-11-04 | 2010-05-20 | Tokyo Electron Ltd | 成膜方法および成膜装置、ならびに記憶媒体 |
JP2010147350A (ja) * | 2008-12-19 | 2010-07-01 | Sumco Techxiv株式会社 | エピタキシャルウェーハの製造方法及び製造装置 |
Also Published As
Publication number | Publication date |
---|---|
US20060201414A1 (en) | 2006-09-14 |
EP1393361A2 (en) | 2004-03-03 |
AU2002305733A1 (en) | 2002-12-09 |
WO2002097864A2 (en) | 2002-12-05 |
US7462239B2 (en) | 2008-12-09 |
KR20080103609A (ko) | 2008-11-27 |
TWI307912B (en) | 2009-03-21 |
US7108748B2 (en) | 2006-09-19 |
US7837795B2 (en) | 2010-11-23 |
US20060130743A1 (en) | 2006-06-22 |
KR20040008193A (ko) | 2004-01-28 |
WO2002097864A3 (en) | 2003-09-04 |
US20030036268A1 (en) | 2003-02-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7108748B2 (en) | Low temperature load and bake | |
US6749687B1 (en) | In situ growth of oxide and silicon layers | |
US10480095B2 (en) | System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow | |
US7964513B2 (en) | Method to form ultra high quality silicon-containing compound layers | |
US6348420B1 (en) | Situ dielectric stacks | |
US7479443B2 (en) | Germanium deposition | |
KR100777321B1 (ko) | 동일 챔버에서의 산화물층 및 실리콘층의 성장 | |
US11605544B2 (en) | Methods and systems for cleaning high aspect ratio structures | |
CN109891555B (zh) | 低温外延层形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040820 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050526 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20080612 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080618 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080917 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080925 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081215 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20090703 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20090703 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20091208 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20091208 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100209 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20100706 |