DE3782991T2 - Cvd-verfahren und vorrichtung. - Google Patents

Cvd-verfahren und vorrichtung.

Info

Publication number
DE3782991T2
DE3782991T2 DE8787307896T DE3782991T DE3782991T2 DE 3782991 T2 DE3782991 T2 DE 3782991T2 DE 8787307896 T DE8787307896 T DE 8787307896T DE 3782991 T DE3782991 T DE 3782991T DE 3782991 T2 DE3782991 T2 DE 3782991T2
Authority
DE
Germany
Prior art keywords
substrate holder
light sources
reaction chamber
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE8787307896T
Other languages
English (en)
Other versions
DE3782991D1 (de
Inventor
Shigenori Hayashi
Naoki Hirose
Takashi Inujima
Seiichi Odakaa
Touru Takayama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP21332486A external-priority patent/JPS6369976A/ja
Priority claimed from JP61213323A external-priority patent/JPS6367727A/ja
Priority claimed from JP21332586A external-priority patent/JPS6369977A/ja
Priority claimed from JP62141050A external-priority patent/JPS63307279A/ja
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Application granted granted Critical
Publication of DE3782991D1 publication Critical patent/DE3782991D1/de
Publication of DE3782991T2 publication Critical patent/DE3782991T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/017Clean surfaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/043Dual dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/045Electric field
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

    Hintergrund der Erfindung
  • Die Erfindung bezieht sich allgemein auf CVD-Verfahren und -Vorrichtungen, und insbesondere betrifft sie eine photo- und plasmaunterstützte CVD-Vorrichtung.
  • Es existieren viele Verfahren zur Materialabscheidung aus der Dampfphase (CVD), wie AP(Atmospheric Pressure = Umgebungsdruck)-CVD, LP(Low Pressure = Niederdruck)-CVD, Plasma- CVD, thermische CVD usw., die dazu verwendet werden, einen Film auf einem Substrat abzuscheiden. Diese Prozesse weisen jeweils ihre eigenen besonderen Eigenschaften auf, jedoch gilt allgemein, daß die Temperatur, bei der jeder Prozeß ausgeführt wird, relativ hoch ist. Ein derartiger Hochtemperaturprozeß ist z. B. nicht für das Ausbilden eines Passivierungsfilms auf einer Aluminiumelektrodenanordnung geeignet.
  • Photounterstützte CVD-Prozesse haben das Interesse von Gerätebauern auf sich gezogen, da sie bei relativ niedrigen Temperaturen ausgeführt werden können. Der photounterstützte CVD-Prozeß basiert auf der Energie von Licht, indem nämlich eine optische Reaktion ausgeführt wird. Z. B. werden im Fall eines Photo-CVD-Prozesses mit Silan und Ammoniak Quecksilberatome durch Einstrahlung ultravioletten Lichts einer Wellenlänge von 2,537 Å (1 2Å = 10&supmin;¹&sup0;m) angeregt. Der Prozeß wird zum Abscheiden eines Siliziumnitridfilms auf einem Substrat gemäß folgender Gleichung verwendet.
  • Hg + hν T Hg* ("*" zeigt Anregung an)
  • Hg* + SiH&sub4; T SiH&sub3; + H- + Hg ("-" zeigt ein Radikal an)
  • Hg* + NH&sub3; T NH&sub2;- + H- + Hg
  • yNH&sub2;- + xSiH&sub3; T SixNy + zH&sub2;
  • In den vorstehenden Gleichungen werden x, y und z geeignet gewählt.
  • Fig. 1 der beigefügten Zeichnungen stellt einen Querschnitt durch eine beispielhafte Photo-CVD-Vorrichtung dar, die früher von den Erfindern der vorliegenden Erfindung ersonnen wurde. Um das Verständnis des Hintergrundes der vorliegenden Erfindung zu erleichtern, wird zunächst diese Vorrichtung kurz erläutert. Wie in Fig. 1 dargestellt, weist die Vorrichtung eine Reaktionskammer 31, Lichtquellenkammern 39 und Ultraviolettlichtquellen 41 innerhalb der Kammern 39 auf. Zwischen den Lichtquellenkammern 39 ist ein Träger 35 so angebracht, daß er in Richtung rechtwinklig zum Zeichenblatt bewegt werden kann. Der Träger ist mit Heizern 37 versehen, um Substrate 33 aufzuheizen, die, wie dargestellt, auf den Außenseiten des Trägers 35 angebracht sind, die den Lichtquellenkammern 39 gegenüberstehen. Die Temperatur der Substrate 33 wird auf etwa 200ºC erhöht, was zum Ausbilden eines Siliziumnitridfilms geeignet ist. In der Reaktionskammer 31 wird ein Prozeßgas mit einem Druck von einigen Torr (1 Torr = 133.3 N/mΠ) zirkuliert. Das Prozeßgas wird durch Quarzfenster 47 hindurch mit Licht von den Lichtquellen 41 beleuchtet. Das Bezugszeichen 45 kennzeichnet Elektroden, durch die bewirkt werden kann, daß eine Entladung innerhalb der Kammer stattfindet, wobei der Träger als zweite Elektrode verwendet wird, damit unerwünschte Produkte, die sich auf den Oberflächen der Quarze 47 abgeschieden haben, durch Sputtern entfernt werden können.
  • Ein Nachteil der Vorrichtung von Fig. 1 ist, daß die Dicke des abgeschiedenen Films von der räumlichen Beziehung zwischen den Lichtquellen und den Positionen der Substrate abhängt. Hierbei kann das beim CVD-Prozeß erzeugte Produkt mit größerer Dicke an solchen Stellen abgeschieden werden, die mit stärkerem Licht bestrahlt werden. Allgemein gesagt, beträgt die tolerierbare Schwankung in der Dicke des Films etwa 10 %. Darüber hinaus müssen die Quarzfenster 47 ausreichend dick sein, damit sie dem Differenzdruck zwischen der Innenseite der Reaktionskammer 31 und der Innenseite der Lichtquellenkammer 39 standhalten, in der Kühlgas umgewälzt wird, damit ein Auslecken des Kühlgases von der Lichtquellenkammer 39 in die Reaktionskammer 31 verhindert werden kann. Als Alternative kann ein spezielles Kühlsystem zum Kühlen der Lichtquellenkammer 39 erforderlich sein, wodurch der Druck in der Lichtquellenkammer und dadurch der Differenzdruck erniedrigt werden kann. Wenn es erwünscht ist, eine Entladung zwischen dem Träger 35 und der Reaktionskammer 31 herbeizuführen, um durch Sputtern einen unerwünschten Film zu entfernen, der sich auf den Lichteinstrahlfenstern abgeschieden hat, neigt die Entladung dazu, von den Fenstern wegzugehen. Daher müssen spezielle Elektroden 45 angebracht werden, was zu erhöhter Größe der Vorrichtung führt.
  • Die Schwierigkeit mit der Ungleichmäßigkeit des durch CVD abgeschiedenen Films liegt auch im Fall plasmaunterstützter CVD vor. Die Energie des Plasmas scheint von der Beziehung zwischen dem Substrat und dem Paar von Elektroden abhängig zu sein, zwischen denen die Entladung stattfindet. Gleichförmige Filmabscheidung auf einem zu beschichtenden Substrat ist auch ein Erfordernis bei Plasma-CVD.
  • Zusammenfassung der Erfindung
  • Gemäß der Erfindung wird eine photounterstützte CVD-Vorrichtung angegeben mit einer evakuierbaren Reaktionskammer, einer Einrichtung zum Einführen von Prozeßgasen in die Reaktionskammer, einem im wesentlichen säulenförmigen Substrathalter, der innerhalb der Reaktionskammer angebracht ist, welcher Substrathalter Umfangsflächen aufweist, die mehrere Substrate halten können, mehreren langgestreckten Lichtquellen, die im wesentlichen gleichförmig um den Substrathalter angeordnet sind, um auf diesem befindliche Substrate zu bestrahlen, welche langgestreckten Lichtquellen sich im wesentlichen parallel zu den Substrathalterflächen des Substrathalters erstrecken und eine Länge aufweisen, die zumindest der entsprechenden Längsabmessung der Substrathalterflächen des Substrathalters entspricht, und einer Einrichtung zum Verändern der räumlichen Beziehung des Substrathalters relativ zu den Lichtquellen, um während des Gebrauchs der Vorrichtung das Ausbilden gleichförmiger Abscheidungen auf den auf den Umfangsflächen des Substrathalters gehaltenen Substraten unabhängig von irgendwelchen Unhomogenitäten in der räumlichen Ausbildung der Vorrichtung zu ermöglichen.
  • Die vorliegende Erfindung gibt also eine CVD-Vorrichtung an, in der die räumliche Beziehung eines auf einem Träger der Vorrichtung angebrachten Substrats relativ zur Vorrichtung während des CVD-Prozesses verändert wird, um den schädlichen Effekt von Ungleichförmigkeiten in der räumlichen Anordnung der Vorrichtung auf die Gleichförmigkeit von von der Vorrichtung herbeigeführten Abscheidungen zu verringern. Der Träger kann z. B. drehbar in der Vorrichtung angebracht sein, um sicherzustellen, daß ein auf dem Träger angebrachtes Substrat den Effekten der Photounterstützungseinrichtungen der Vorrichtung über die gesamte Substratfläche gleichmäßig ausgesetzt ist.
  • Bei bevorzugten Ausführungsbeispielen, wie sie nachfolgend beschrieben werden, wird ein im wesentlichen zylindrischer Träger mit vielen Prismenflächen verwendet, der so angeordnet ist, daß er während des CVD-Prozesses um seine Achse gedreht werden kann, und die Photounterstützungseinrichtung ist so ausgebildet, daß sie den sich drehenden Träger umgibt. Ein derartiger Träger kann viele Flächen aufweisen, und er ist dazu in der Lage, gleichförmige Abscheidungen auf den Oberflächen der Substrate herbeizuführen, die auf allen seinen Flächen angebracht sind.
  • Die Gleichmäßigkeit kann weiter durch Einstellen der Drehzahl des Trägers und/oder durch Einstellen der Intensität der von der Unterstützungseinrichtung hervorgerufenen Effekte gesteigert werden.
  • Die vorstehenden und weitere Merkmale und Gesichtspunkte der Erfindung werden insbesondere in den beigefügten Ansprüchen dargelegt, und sie werden für den Fachmann aus der folgenden, unter Bezugnahme auf die beigefügten Zeichnungen gegebenen Beschreibung deutlich.
  • Kurze Beschreibung der Zeichnungen
  • Fig. 1 ist ein Querschnitt für ein Beispiel einer Photo-CVD- Vorrichtung;
  • Fig. 2 ist ein Querschnitt, der ein beispielhaftes Ausführungsbeispiel der Erfindung zeigt;
  • Fig. 3 ist ein Querschnitt entlang der Linie III-III von Fig. 2;
  • Fig. 4 ist ein Querschnitt, der ein anderes beispielhaftes Ausführungsbeispiel der Erfindung zeigt.
  • Fig. 5(A) bis 5(C) sind Diagramme, die die Verteilung der Beleuchtungsintensität von Substraten darstellen, die auf prismenförmigen Substrathaltern angebracht sind, die Querschnitte in Form regelmäßiger Polygone mit 6, 12 bzw. 24 Seiten aufweisen; und
  • Fig. 6(A) bis 6(C) und Fig. 7 sind Querschnitte, die Stufen eines beispielhaften erfindungsgemäßen CVD-Prozesses zeigen.
  • Detaillierte Beschreibung der bevorzugten Ausführungsbeispiele
  • Fig. 2 und Fig. 3 zeigen eine erfindungsgemäße photounterstützte CVD-Vorrichtung. Wie in den Figuren dargestellt, weist die Vorrichtung 1 eine Reaktionskammer 3, einen hexagonalen Träger 7, der als Substrathalter dient und sechs Seitenflächen aufweist, auf die Substrate 15 aufgebracht werden können, eine Antriebsanordnung 9 mit einem Motor 21 zum Drehen des Trägers 7 um seine Achse, mehrere Quarzrohre 17, die voneinander beabstandet in regelmäßigen Winkelabständen um den Träger angeordnet sind und gegen die Innenseite der Reaktionskammer 3 an einem Ende abgedichtet sind, während sie am anderen Ende geschlossen sind, Quecksilberdampflampen 19, die innerhalb der Quarzrohre luftdicht gelagert sind, Halogenlampenheizer 23, die in axialer Richtung entlang dem Träger angeordnet sind, ein Prozeßgas-Einlaßsystem 11 und ein Auspumpsystem 13 auf. Ein Kühlgas, wie Stickstoff, wird durch eine Umwälzeinrichtung 29 in den Quarzrohren 17 umgewalzt. Auf jeder ebenen Fläche des Trägers 7 können zwei Substrate mit jeweils 35 cm Länge und 30 cm Breite angebracht werden, weswegen der Träger 7 zwölf Substrate halten kann. Der Träger ist vorzugsweise von der Antriebseinrichtung abnehmbar, so daß die Substrate außerhalb der Reaktionskammer 3 am Träger angebracht werden können.
  • Nachfolgend wird ein Verfahren für den Gebrauch der Vorrichtung beschrieben. Zunächst werden zwölf Substrate am Träger 7 angebracht und in die Reaktionskammer 3 eingeführt. Nach dem Evakuieren der Reaktionskammer 3 auf 10&supmin;² bis 10&supmin;&sup6; Torr mit Hilfe des Auspumpsystems 13 wird Prozeßgas mit etwa 3 Torr vom Einlaßsystem 11 eingegeben. Gleichzeitig werden die Substrate 15 durch die Heizer 23 auf etwa 200ºC aufgeheizt. Anschließend wird der von den Quecksilberdampflampen 19 umschlossene Träger 7 mit 2 U/min durch die Antriebsanordnung 9 in Drehung versetzt und mit ultraviolettem Licht von den Strahlen 19 bestrahlt, woraufhin das durch die optische Energie herbeigeführte Reaktionsprodukt auf den Substraten 15 abgeschieden wird. Jedes unerwünschterweise auf den Quarzrohren 19 abgeschiedene Reaktionsprodukt kann durch Sputtern dadurch entfernt werden, daß eine Entladung zwischen dem Träger und der Reaktionskammer 3 hergestellt wird. Ein photounterstützter CVD-Prozeß kann z. B. gemäß der folgenden Gleichung stattfinden:
  • 3Si&sub2;H&sub6; + 8NH&sub3; T 2Si&sub3;N&sub4; + 21H&sub2; oder
  • SiH&sub4; + 4N&sub2;O T SiO&sub2; + 4N&sub2; + 2H&sub2;O (1)
  • Unter Bezugnahme auf Fig. 4 wird nun ein anderes Ausführungsbeispiel der Erfindung veranschaulicht. Dieses Ausführungsbeispiel stimmt mit dem vorherigen mit der Ausnahme überein, daß die Anzahl von Seitenflächen des Trägers anders ist und daß eine Elektrode 49 in Form eines zylindrischen Drahtnetzes zwischen dem Träger 7 und der Reaktionskammer 3 angeordnet ist. Der Träger 7 beim Ausführungsbeispiel von Fig. 4 weist zwölf Seitenflächen auf, von denen jede zwei Substrate halten kann. Die Elektrode 49 wird sowohl zum Erzeugen eines Plasmagases durch eine zwischen ihr und dem Träger 7 herbeigeführte Entladung verwendet wie auch zum Ausführen eines Ätzvorgangs zum Beseitigen irgendwelcher unerwünschter CVD-Produkte, die auf der Innenwand der Reaktionskammer 3, den Außenseiten der Lichtquellen 5 usw. abgeschieden sind. Die Elektrode 49 könnte alternativ zwischen den Lichtquellen 5 und dem Träger 7 angeordnet sein. Plasmaunterstütztes CVD kann mit der dargestellten Vorrichtung gleichzeitig mit Photo-CVD dadurch realisiert werden, daß eine Plasmaentladung innerhalb der Reaktionskammer während des Photo-CVD-Prozesses bewirkt wird, oder es kann getrennt nach oder statt der Abscheidung durch Photo-CVD erfolgen. Plasma-CVD kann z. B. mit Hilfe von TEOS (Tetra-Ethyl-Oxi- Silan) gemäß den folgenden Gleichungen ausgeführt werden:
  • SiO&sub4;(C&sub2;H&sub5;)&sub4; + 14O&sub2; T SiO&sub2; + 8CO&sub2; + 10H&sub2;O, oder
  • SiO&sub4;(C&sub2;H&sub5;)&sub4; + 28N&sub2;O T SiO&sub2; + 8CO&sub2; + 10H&sub2;O + 28N&sub2; (2)
  • Nachdem die Substrate nach der abgeschlossenen Abscheidung der Reaktionskammer entnommen wurden, kann jedes unerwünschte Abscheidungsprodukt, das sich auf der Innenseite der Reaktionskammer ausgebildet hat, durch Ätzen mit einer Entladung entfernt werden, die zwischen dem Träger 7 und der Elektrode 49 aufgebaut wird. Der Ätzvorgang wird z. B. gemäß den folgenden Gleichungen ausgeführt:
  • Si&sub3;N&sub4; + 4NF&sub3; T 3SiF&sub4; + 4N&sub2;
  • 3SiO&sub2; + 4NF&sub3; T 3SiF&sub4; + 2N&sub2; + 3O&sub3;
  • Die Beziehung zwischen der Gleichförmigkeit der Beleuchtungsintensität des Substrates und der Anzahl von Seitenflächen des Trägers wurde experimentell untersucht. Die Fig. 5(A) bis 5(C) sind Diagramme, die die Verteilungen der Intensitäten auf den Substraten zeigen, die auf prismenförmigen Substrathaltern angebracht sind, die Querschnitte in Form regelmäßiger Polygone mit 6, 12 bzw. 24 Seiten aufweisen. In den Figuren repräsentiert die Abszisse die Entfernung des Meßpunktes von der Mitte eines Substrats, und die Ordinate repräsentiert die auf die maximal auf dem Substrat gemessene Intensität normalisierte Intensität. Wie aus den Diagrammen ersichtlich, wird die Intensitätsverteilung mit zunehmender Anzahl von Flächen des Trägers immer gleichmäßiger. Die Intensität schwankt über die bestrahlte Oberfläche um mehr als 10 %, wenn der Träger sechs Flächen aufweist, während die Intensitätsschwankung auf Werte innerhalb 5 % begrenzt ist, wenn die Träger zwölf oder vierundzwanzig Flächen aufweisen. Der Träger mit vierundzwanzig Flächen kann achtundvierzig Substrate halten, wenn zwei Substrate auf jeder Fläche angebracht werden.
  • Die Fig. 6(A) bis 6(C) sind Querschnitte, die ein Beispiel eines erfindungsgemäßen CVD-Prozesses veranschaulichen. Die Oberfläche eines zu beschichtenden Substrates 15 ist mit mehreren Aluminiumanschlußleitungen 51 versehen. Die Anschlußleitungen 51 sind in Richtung rechtwinklig zum Zeichenblatt langgestreckt, und sie können z. B. eine Höhe von 0,8 um und eine Breite von 0,6 um aufweisen und voneinander in Intervallen von 0,9 um beabstandet sein, wie in Fig. 6(A) dargestellt. Auf dem Substrat wird über den Anschlüssen 51 ein Siliziumoxidfilm durch Photo-CVD gemäß Gleichung (1) mit einer Dicke von 0,3 bis 0,5 um bei etwa 400ºC abgeschieden, wie in Fig. 6(B) dargestellt. Weiterhin wird ein anderer Siliziumoxidfilm 55 durch Plasma-CVD gemäß Gleichung (2) bei 200ºC abgeschieden, wie in Fig. 6(C) dargestellt. Der Film 53 weist wegen seiner relativ hohen Bildungstemperatur ausgezeichnete Isoliereigenschaften auf, während der Film 55 eine ebene Oberfläche aufweist aufgrund der Verwendung von TEOS in flüssigem Zustand bei vergleichweise niedriger Temperatur bei seiner Herstellung. Die ebene Oberfläche ist erwünscht, wenn sie mit einer abdeckenden Aluminiumelektrode 57 versehen wird, wie in Fig. 7 dargestellt. Die Wahrscheinlichkeit von Diskontinuitäten in der Elektrode 57 wird durch die Gleichmäßigkeit der Oberfläche des Films 55 verringert. Nach dem Abschließen der Abscheidung kann die Innenseite der Reaktionskammer z. B. durch Ätzen gereinigt werden, um CVD- Produkte zu entfernen, die sich auf den Quecksilberdampflampen 19 abgeschieden haben; in den Fig. 6(A) bis 6(C) ist nur eine solche Lampe schematisch dargestellt. Ein Ätzprozeß kann für den abgeschiedenen Film vor oder nach einem Plasma-CVD-Prozeß ausgeführt werden, um eine ebene Oberfläche des Films zu erhalten oder um die Kanten des abgeschiedenen Films zu entgraten.
  • Durch den vorstehend beschriebenen Prozeß kann ein Film mit praktisch konstanter Dicke über die Oberfläche des Substrats 15 aufgrund der gleichförmigen Bestrahlung abgeschieden werden, die über jedes Substrat erhalten wird. Jedoch kann die Gleichförmigkeit der Filmdicke noch weiter dadurch verbessert werden, daß die Intensität der Quecksilberdampflampe 19 synchron mit der Umdrehung des Trägers 7 moduliert wird oder dadurch, daß die Winkeldrehzahl des Trägers 7 abhängig von der Position relativ zu den Quecksilberdampflampen 19 moduliert wird.
  • Es darf nicht angenommen werden, daß die Erfindung auf die vorstehend beschriebenen besonderen Ausführungsbeispiele beschränkt ist, sondern viele Modifizierungen und Variationen können sich für den Fachmann ergeben, ohne daß von der Erfindung abgewichen wird. Z. B. können, was den Querschnitt des Trägers 7 betrifft, andere regelmäßige oder unregelmäßige polygonale oder kreisförmige Formen verwendet werden. Auch kann die Antriebsanordnung statt an der Unterseite, wie in Fig. 2 dargestellt, an der Oberseite der Reaktionskammer oder an einer Seite angebracht sein, wobei ein Zahnradantrieb vorhanden ist.

Claims (15)

1. Photounterstützte CVD-Vorrichtung mit einer evakuierbaren Reaktionskammer (3), einer Einrichtung (11) zum Einführen von Prozeßgasen in die Reaktionskammer, einem im wesentlichen säulenförmigen Substrathalter (7), der innerhalb der Reaktionskammer angebracht ist, welcher Substrathalter Umfangsflächen aufweist, die mehrere Substrate (15) halten können, mehreren langgestreckten Lichtquellen (19), die im wesentlichen gleichförmig um den Substrathalter angeordnet sind, um auf diesem befindliche Substrate zu bestrahlen, welche langgestreckten Lichtquellen sich im wesentlichen parallel zu den Substrathalterflächen des Substrathalters erstrecken und eine Länge aufweisen, die zumindest der entsprechenden Längsabmessung der Substrathalterflächen des Substrathalters entspricht, und einer Einrichtung (21) zum Verändern der räumlichen Beziehung des Substrathalters (7) relativ zu den Lichtquellen (19), um während des Gebrauchs der Vorrichtung das Ausbilden gleichförmiger Abscheidungen auf den auf den Umfangsflächen des Substrathalters gehaltenen Substraten unabhängig von irgendwelchen Unhomogenitäten in der räumlichen Ausbildung der Vorrichtung zu ermöglichen.
2. Vorrichtung nach Anspruch 1, bei der der Substrathalter (7) polygonal ist und mehrere ebene Substrathalteflächen aufweist.
3. Vorrichtung nach Anspruch 2, bei der der polygonale Substrathalter (7) mindestens zwölf Substrathalteflächen aufweist.
4. Vorrichtung nach einem der vorstehenden Ansprüche, bei der der Substrathalter (7) im wesentlichen zylindrisch ist.
5. Vorrichtung nach einem der vorstehenden Ansprüche, bei der die Lichtquellen (19) UV-Lichtquellen aufweisen.
6. Vorrichtung nach einem der vorstehenden Ansprüche, bei der die langgestreckten Lichtquellen (19) Entladungslampen (19) aufweisen, die innerhalb hermetisch abgedichteten durchsichtigen zylindrischen Rohren (17) eingeschlossen sind.
7. Vorrichtung nach Anspruch 6, bei der die Rohre (17) aus Quarz bestehen.
8. Vorrichtung nach einem der Ansprüche 6 oder 7, bei der eine Einrichtung (29) vorhanden ist, um Kühlgas innerhalb der Rohre (17) zum Kühlen der Lampen (19) umzuwälzen.
9. Vorrichtung nach einem der vorstehenden Ansprüche, bei der der im wesentlichen zylindrische Substrathalter (7) hohl ist, wobei Heizeinrichtungen (23) innerhalb des hohlen Inneren des Substrathalters angeordnet sind, um von diesem festgehaltene Substrate zu beheizen.
10. Vorrichtung nach einem der vorstehenden Ansprüche, bei die Einrichtung (21) zum Verändern der räumlichen Beziehung des Substrathalters (7) relativ zu den Lichtquellen (19) eine Einrichtung zum Drehen des Substrathalters (7) in der Vorrichtung aufweist.
11. Vorrichtung nach einem der vorstehenden Ansprüche, bei der der Substrathalter (7) und die Lichtquellen (19) koaxial angeordnet sind und die Einrichtung (21) so ausgebildet ist, daß sie eine Relativverdrehung um die Achse zwischen dem Substrathalter (7) und den Lichtquellen (19) bewirkt.
12. Vorrichtung nach einem der Ansprüche 10 oder 11, bei der eine Einrichtung vorhanden ist, um die Intensität der Lichtausgangsleistung von den mehreren Lichtquellen (19) synchron zur Relativdrehung zwischen dem Substrathalter (7) und den Lichtquellen (19) zu modulieren, um dadurch die Gleichförmigkeit von Filmen zu erhöhen, die beim Gebrauch der Vorrichtung abgeschieden werden.
13. Vorrichtung nach einem der Ansprüche 10 oder 11, bei der eine Einrichtung zum Modulieren der Winkelgeschwindigkeit des Substrathalters (7) abhängig von seiner Position relativ zu den Lichtquellen (19) vorhanden ist, um dadurch die Gleichförmigkeit von Filmen zu verbessern, die beim Gebrauch der Vorrichtung abgeschieden werden.
14. Vorrichtung nach einem der vorstehenden Ansprüche, bei der eine Elektrode (49) in der Reaktionskammer (3) vorhanden ist, um es zu ermöglichen, daß sich eine Plasmaentladung in der Kammer aufbaut, um plasmaunterstützte CVD innerhalb der Vorrichtung zu realisieren, um unerwünschte Abscheidungen vom Inneren der Reaktionskammer durch Plasmaätzen zu entfernen oder um einen beim Gebrauch der Vorrichtung abgeschiedenen Film plasmazuätzen.
15. Verfahren zum Verwenden der Vorrichtung nach Anspruch 14, bei dem ein dünner Film auf einem Substrat durch photooder plasmaunterstütztes CVD abgeschieden wird und anschließend der abgeschiedene Film plasmageätzt wird.
DE8787307896T 1986-09-09 1987-09-07 Cvd-verfahren und vorrichtung. Expired - Fee Related DE3782991T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP21332486A JPS6369976A (ja) 1986-09-09 1986-09-09 光cvd装置
JP61213323A JPS6367727A (ja) 1986-09-09 1986-09-09 光照射機構
JP21332586A JPS6369977A (ja) 1986-09-09 1986-09-09 均一な被膜を形成する為の光cvd装置
JP62141050A JPS63307279A (ja) 1987-06-05 1987-06-05 光化学反応処理装置

Publications (2)

Publication Number Publication Date
DE3782991D1 DE3782991D1 (de) 1993-01-21
DE3782991T2 true DE3782991T2 (de) 1993-04-08

Family

ID=27472353

Family Applications (1)

Application Number Title Priority Date Filing Date
DE8787307896T Expired - Fee Related DE3782991T2 (de) 1986-09-09 1987-09-07 Cvd-verfahren und vorrichtung.

Country Status (5)

Country Link
US (4) US4950624A (de)
EP (2) EP0490883A1 (de)
KR (1) KR910003742B1 (de)
CN (1) CN1020290C (de)
DE (1) DE3782991T2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19957034B4 (de) * 1999-11-26 2006-04-13 Heraeus Noblelight Gmbh Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
JP2859288B2 (ja) * 1989-03-20 1999-02-17 株式会社日立製作所 半導体集積回路装置及びその製造方法
DE3919538A1 (de) * 1989-06-15 1990-12-20 Asea Brown Boveri Beschichtungsvorrichtung
KR930009549B1 (ko) * 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
JPH05243160A (ja) * 1992-02-28 1993-09-21 Nec Yamagata Ltd 半導体デバイス製造用プラズマcvd装置
JP3072000B2 (ja) * 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2590438B2 (ja) * 1994-06-30 1997-03-12 工業技術院長 薄膜形成方法および薄膜形成装置
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5614151A (en) * 1995-06-07 1997-03-25 R Squared Holding, Inc. Electrodeless sterilizer using ultraviolet and/or ozone
US5880029A (en) * 1996-12-27 1999-03-09 Motorola, Inc. Method of passivating semiconductor devices and the passivated devices
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5741740A (en) * 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
CN100432286C (zh) * 2003-12-31 2008-11-12 天津大学 多副对向靶薄膜溅射仪
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN100427641C (zh) * 2005-09-23 2008-10-22 清华大学 单片三腔衬片旋转式超高真空化学气相淀积外延***
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
EP2360293A1 (de) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Verfahren und Vorrichtung zur Ablagerung atomarer Schichten auf einem Substrat
EP2362001A1 (de) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Verfahren und Vorrichtung zur Lagenabscheidung
EP2362411A1 (de) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Vorrichtung und Verfahren zum reaktiven Ionenätzen
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TWI452168B (zh) * 2010-06-21 2014-09-11 Hon Hai Prec Ind Co Ltd 電漿式鍍膜裝置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8785235B2 (en) * 2012-02-10 2014-07-22 Tsmc Solar Ltd. Apparatus and method for producing solar cells
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9029737B2 (en) * 2013-01-04 2015-05-12 Tsmc Solar Ltd. Method and system for forming absorber layer on metal coated glass for photovoltaic devices
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
CN106756888B (zh) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US11339477B2 (en) 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN108210948B (zh) * 2018-04-08 2020-09-04 西安交通大学医学院第二附属医院 一种手术刀高效清洁消毒仪
CN108452350B (zh) * 2018-04-08 2020-10-02 吕政仪 一种手术刀高效清洁消毒方法
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3026435A (en) * 1960-09-16 1962-03-20 Mcpherson Instr Corp Ultraviolet lamp
US3228812A (en) * 1962-12-04 1966-01-11 Dickson Electronics Corp Method of forming semiconductors
GB1104935A (en) * 1964-05-08 1968-03-06 Standard Telephones Cables Ltd Improvements in or relating to a method of forming a layer of an inorganic compound
US3372672A (en) * 1966-03-21 1968-03-12 Gen Electric Photopolymerization means in a vapor deposition coating apparatus
DE1900116C3 (de) * 1969-01-02 1978-10-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen hxxochreiner, aus Silicium bestehender einkristalliner Schichten
US3619682A (en) * 1969-04-01 1971-11-09 Sylvania Electric Prod Arc discharge lamp including means for cooling envelope surrounding an arc tube
FR2041513A5 (de) * 1969-04-28 1971-01-29 Cem Comp Electro Mec
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US4015558A (en) * 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
JPS5121753A (ja) * 1974-08-16 1976-02-21 Fujitsu Ltd Akuteibubandopasufuiruta
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
JPS5275183A (en) 1975-12-18 1977-06-23 Mitsubishi Electric Corp Method and apparatus for washing of treating objects
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
DE2825018C2 (de) * 1978-06-05 1986-07-24 Georg 4902 Bad Salzuflen Horstmann Quecksilberdampf-Niederdrucklampe
JPS5514138A (en) * 1978-07-13 1980-01-31 Aioi Seiki Kk Control unit for actuation and supervising of brake of mechanical press
JPS5642377A (en) * 1979-09-14 1981-04-20 Fujitsu Ltd Ultraviolet ray erasable type rewritable read-only memory
JPS5643742A (en) * 1979-09-17 1981-04-22 Mitsubishi Electric Corp Manufacture of semiconductor
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4371587A (en) * 1979-12-17 1983-02-01 Hughes Aircraft Company Low temperature process for depositing oxide layers by photochemical vapor deposition
DE3066027D1 (en) * 1979-12-17 1984-02-02 Hughes Aircraft Co Low temperature process for depositing oxide layers by photochemical vapor deposition
JPS56116673A (en) * 1980-02-19 1981-09-12 Sharp Corp Amorphous thin film solar cell
GB2089840B (en) * 1980-12-20 1983-12-14 Cambridge Instr Ltd Chemical vapour deposition apparatus incorporating radiant heat source for substrate
CA1165014A (en) * 1981-04-13 1984-04-03 Kei Kurosawa Method for manufacturing semiconductor device
US4330570A (en) * 1981-04-24 1982-05-18 The United States Of America As Represented By The Secretary Of The Navy Selective photoinduced condensation technique for producing semiconducting compounds
US4419385A (en) * 1981-09-24 1983-12-06 Hughes Aircraft Company Low temperature process for depositing an oxide dielectric layer on a conductive surface and multilayer structures formed thereby
US4532196A (en) * 1982-01-25 1985-07-30 Stanley Electric Co., Ltd. Amorphous silicon photoreceptor with nitrogen and boron
JPS58158914A (ja) * 1982-03-16 1983-09-21 Semiconductor Res Found 半導体製造装置
US4435445A (en) * 1982-05-13 1984-03-06 Energy Conversion Devices, Inc. Photo-assisted CVD
JPS58197856A (ja) 1982-05-14 1983-11-17 Nec Corp 半導体装置
US4402997A (en) * 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
WO1983004269A1 (en) * 1982-06-01 1983-12-08 Massachusetts Institute Of Technology Maskless growth of patterned films
US4451503A (en) * 1982-06-30 1984-05-29 International Business Machines Corporation Photo deposition of metals with far UV radiation
US4503126A (en) * 1982-08-18 1985-03-05 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4435476A (en) * 1982-08-18 1984-03-06 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4582720A (en) * 1982-09-20 1986-04-15 Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for forming non-single-crystal layer
JPS5958819A (ja) * 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5982732A (ja) * 1982-11-02 1984-05-12 Nec Corp 半導体装置の製造方法
JPS5987834A (ja) * 1982-11-11 1984-05-21 Toshiba Corp 薄膜形成方法
JPS5989407A (ja) * 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS59104120A (ja) * 1982-12-07 1984-06-15 Fujitsu Ltd プラズマ処理方法
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
JPH0614552B2 (ja) * 1983-02-02 1994-02-23 富士ゼロックス株式会社 光電変換素子の製造方法
JPS59193265A (ja) * 1983-03-14 1984-11-01 Stanley Electric Co Ltd プラズマcvd装置
JPS59193024A (ja) * 1983-03-29 1984-11-01 Ushio Inc 閃光照射装置
US4509451A (en) * 1983-03-29 1985-04-09 Colromm, Inc. Electron beam induced chemical vapor deposition
JPS59181648A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 半導体装置の製造方法
JPS59194452A (ja) * 1983-04-18 1984-11-05 Mitsubishi Electric Corp 半導体集積回路装置の製造方法
JPS59207620A (ja) * 1983-05-10 1984-11-24 Zenko Hirose アモルフアスシリコン成膜装置
JPH0622212B2 (ja) * 1983-05-31 1994-03-23 株式会社東芝 ドライエッチング方法
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4496828A (en) * 1983-07-08 1985-01-29 Ultra Carbon Corporation Susceptor assembly
NL8303602A (nl) * 1983-10-19 1985-05-17 Johannes Hendrikus Leonardus H Plasma-gestimuleerde chemische opdampinrichting en in het bijzonder een substratenondersteunings- en elektrodeopstelling daarvoor en de betreffende onderdelen.
US4546535A (en) * 1983-12-12 1985-10-15 International Business Machines Corporation Method of making submicron FET structure
FR2557149B1 (fr) * 1983-12-27 1989-11-17 France Etat Procede et dispositif pour le depot, sur un support, d'une couche mince d'un materiau a partir d'un plasma reactif
JPS60145628A (ja) 1984-01-10 1985-08-01 Nec Corp 半導体装置
JPS60167318A (ja) * 1984-02-09 1985-08-30 Mitsubishi Electric Corp 光応用半導体製造装置
US4544423A (en) * 1984-02-10 1985-10-01 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Amorphous silicon semiconductor and process for same
JPS60170234A (ja) * 1984-02-15 1985-09-03 Semiconductor Energy Lab Co Ltd 気相反応装置および気相反応被膜作製方法
US4704300A (en) * 1984-03-12 1987-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layer
US4649071A (en) * 1984-04-28 1987-03-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and process for producing the same
US4568565A (en) * 1984-05-14 1986-02-04 Allied Corporation Light induced chemical vapor deposition of conductive titanium silicide films
JPS60245217A (ja) * 1984-05-21 1985-12-05 Semiconductor Energy Lab Co Ltd 薄膜形成装置
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US4681653A (en) * 1984-06-01 1987-07-21 Texas Instruments Incorporated Planarized dielectric deposited using plasma enhanced chemical vapor deposition
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
CA1213075A (en) * 1984-06-15 1986-10-21 Jacques S. Mercier Method for improving step coverage of dielectrics in vlsi circuits
US4597986A (en) * 1984-07-31 1986-07-01 Hughes Aircraft Company Method for photochemical vapor deposition
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
JPS6141762A (ja) * 1984-08-06 1986-02-28 Res Dev Corp Of Japan 超微細パタ−ンの形成法
JPS6163020A (ja) * 1984-09-04 1986-04-01 Agency Of Ind Science & Technol 薄膜形成方法
JPS6165419A (ja) * 1984-09-07 1986-04-04 Tdk Corp 気相成長装置
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
JPS61103539A (ja) * 1984-10-26 1986-05-22 Applied Material Japan Kk 気相成長方法
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
JPS61110772A (ja) 1984-11-01 1986-05-29 Fuji Electric Co Ltd 多層薄膜形成装置
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (ja) * 1985-03-28 1986-10-04 Canon Inc 複写装置
JPS61210622A (ja) * 1985-03-15 1986-09-18 Komatsu Ltd 半導体製造装置
US4601260A (en) * 1985-04-01 1986-07-22 Sovonics Solar Systems Vertical semiconductor processor
JPH0691068B2 (ja) * 1985-04-02 1994-11-14 株式会社日立製作所 薄膜形成方法
JPS61234531A (ja) * 1985-04-11 1986-10-18 Canon Inc シリコン酸化物の作製方法
US4695331A (en) * 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JPS61278146A (ja) * 1985-06-03 1986-12-09 Toshiba Corp 光処理方法
JPS61289649A (ja) 1985-06-17 1986-12-19 Matsushita Electronics Corp 半導体装置の製造方法
US4719123A (en) * 1985-08-05 1988-01-12 Sanyo Electric Co., Ltd. Method for fabricating periodically multilayered film
JPS6245022A (ja) * 1985-08-22 1987-02-27 Toshiba Corp 半導体装置の製造方法
JPS6245122A (ja) * 1985-08-23 1987-02-27 Hitachi Ltd 処理装置
JPH0244143B2 (ja) * 1985-08-30 1990-10-02 Tokyo Shibaura Electric Co Handotaisochinoseizohoho
JPH0754827B2 (ja) * 1985-09-04 1995-06-07 株式会社東芝 半導体装置の製造方法
JPS6273784A (ja) * 1985-09-27 1987-04-04 Sanyo Electric Co Ltd 光起電力装置
JPS6280272A (ja) * 1985-10-02 1987-04-13 Applied Materials Japan Kk 気相成長方法
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
KR910003169B1 (ko) * 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
JPH0651908B2 (ja) * 1985-12-28 1994-07-06 キヤノン株式会社 薄膜多層構造の形成方法
JPH084070B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 薄膜半導体素子及びその形成法
JP2566914B2 (ja) * 1985-12-28 1996-12-25 キヤノン株式会社 薄膜半導体素子及びその形成法
JPH084071B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 堆積膜形成法
JPS62160462A (ja) * 1986-01-09 1987-07-16 Fuji Electric Co Ltd 電子写真感光体製造用加熱源
JPH084072B2 (ja) * 1986-01-14 1996-01-17 キヤノン株式会社 堆積膜形成法
JPS62188375A (ja) * 1986-02-14 1987-08-17 Hitachi Ltd 半導体集積回路装置
US4654226A (en) * 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
JPS62216318A (ja) 1986-03-18 1987-09-22 Fujitsu Ltd レ−ザアニ−ル装置
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4753818A (en) * 1986-07-25 1988-06-28 Hughes Aircraft Company Process for photochemical vapor deposition of oxide layers at enhanced deposition rates
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4749631B1 (en) * 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPS63144513A (ja) * 1986-12-09 1988-06-16 Nkk Corp バレル型エピタキシヤル成長装置
JPS63147314A (ja) * 1986-12-10 1988-06-20 Nec Corp Cvd方法
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3856483T2 (de) * 1987-03-18 2002-04-18 Kabushiki Kaisha Toshiba, Kawasaki Verfahren zur Herstellung von Dünnschichten
JPS646318A (en) * 1987-03-27 1989-01-10 Sumitomo Electric Industries Superconducting material and manufacture thereof
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
JPS63314828A (ja) 1987-06-18 1988-12-22 Matsushita Electric Ind Co Ltd 光cvd装置
JPS644828A (en) * 1987-06-26 1989-01-10 Sharp Kk Image display control system
JPS6428925A (en) * 1987-07-24 1989-01-31 Semiconductor Energy Lab Formation of insulating film
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
JPH01110772A (ja) * 1987-10-23 1989-04-27 Fujitsu Ltd 半導体装置の製造方法
US5424131A (en) * 1987-11-30 1995-06-13 Polyplasma, Inc. Barrier coatings on spacecraft materials
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02129371A (ja) * 1988-11-08 1990-05-17 Canon Inc 堆積膜形成装置の洗浄方法
JPH06103691B2 (ja) * 1989-02-20 1994-12-14 松下電器産業株式会社 薄膜の形成方法
DE69030140T2 (de) * 1989-06-28 1997-09-04 Canon Kk Verfahren und Anordnung zur kontinuierlichen Bildung einer durch Mikrowellen-Plasma-CVD niedergeschlagenen grossflächigen Dünnschicht
US5096735A (en) * 1990-02-07 1992-03-17 Sharp Kabushiki Kaisha Process for producing a thin film electroluminescent device
EP0448223B1 (de) * 1990-02-19 1996-06-26 Canon Kabushiki Kaisha Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
JP3097855B2 (ja) * 1990-04-20 2000-10-10 株式会社リコー 液晶表示素子
US5284789A (en) * 1990-04-25 1994-02-08 Casio Computer Co., Ltd. Method of forming silicon-based thin film and method of manufacturing thin film transistor using silicon-based thin film
US5243202A (en) * 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5209878A (en) * 1990-10-30 1993-05-11 3D Systems, Inc. Surface resolution in three-dimensional objects by inclusion of thin fill layers
EP0519079B1 (de) * 1991-01-08 1999-03-03 Fujitsu Limited Verfahren zur bildung eines siliciumoxid-filmes
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
US5389581A (en) * 1991-06-07 1995-02-14 Intel Corporation High density TEOS-based film for intermetal dielectrics
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
EP0560617A3 (en) * 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JP3093429B2 (ja) * 1992-04-28 2000-10-03 日本電気株式会社 半導体装置の製造方法
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP3708135B2 (ja) * 1992-06-26 2005-10-19 株式会社日立メディコ 磁気共鳴イメージング装置
JP3073327B2 (ja) * 1992-06-30 2000-08-07 キヤノン株式会社 堆積膜形成方法
EP0582724A1 (de) * 1992-08-04 1994-02-16 Siemens Aktiengesellschaft Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP2826787B2 (ja) * 1992-08-26 1998-11-18 富士通株式会社 半導体装置
DE69321190T2 (de) * 1992-11-09 1999-05-20 Koninklijke Philips Electronics N.V., Eindhoven Herstellungsverfahren für einen Magnetkopf und mit dieser Methode hergestellter Magnetkopf
CA2102948C (en) * 1992-11-16 1998-10-27 Keishi Saito Photoelectric conversion element and power generation system using the same
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
FR2713667B1 (fr) * 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat non métallique.
FR2713666B1 (fr) * 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
JP3571785B2 (ja) * 1993-12-28 2004-09-29 キヤノン株式会社 堆積膜形成方法及び堆積膜形成装置
EP0661731B1 (de) * 1993-12-28 2000-05-31 Applied Materials, Inc. Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
JP3364694B2 (ja) * 1993-12-28 2003-01-08 株式会社アルバック 保護膜の形成方法
US5643637A (en) * 1994-03-18 1997-07-01 General Electric Company Method of grading the electric field of an electrode
JP3167534B2 (ja) * 1994-06-20 2001-05-21 株式会社東芝 無停電電源装置
US5607773A (en) * 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
JPH08203884A (ja) * 1995-01-31 1996-08-09 Mitsubishi Electric Corp オキシナイトライド膜およびその形成方法ならびにそのオキシナイトライド膜を用いた素子分離酸化膜の形成方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH097856A (ja) * 1995-06-19 1997-01-10 Taiyo Yuden Co Ltd 回路部品
JPH098032A (ja) * 1995-06-20 1997-01-10 Sony Corp 絶縁膜形成方法
KR0147976B1 (ko) * 1995-06-30 1998-10-15 배순훈 박막 헤드의 패턴 평탄화 방법
US5795833A (en) * 1996-08-01 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating passivation layers over metal lines
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5744202A (en) * 1996-09-30 1998-04-28 Xerox Corporation Enhancement of hydrogenation of materials encapsulated by an oxide

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19957034B4 (de) * 1999-11-26 2006-04-13 Heraeus Noblelight Gmbh Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung

Also Published As

Publication number Publication date
EP0490883A1 (de) 1992-06-17
US6013338A (en) 2000-01-11
EP0260097A1 (de) 1988-03-16
KR880004128A (ko) 1988-06-01
DE3782991D1 (de) 1993-01-21
US6520189B1 (en) 2003-02-18
EP0260097B1 (de) 1992-12-09
KR910003742B1 (ko) 1991-06-10
CN87106283A (zh) 1988-03-23
US20030140941A1 (en) 2003-07-31
CN1020290C (zh) 1993-04-14
US4950624A (en) 1990-08-21

Similar Documents

Publication Publication Date Title
DE3782991T2 (de) Cvd-verfahren und vorrichtung.
DE69018760T2 (de) Gerät für chemische Behandlung mit Hilfe eines Diffusionsplasmas.
DE3336064C2 (de) Vorrichtung zur Erzeugung einer Schicht auf einem Substrat
US5855970A (en) Method of forming a film on a substrate
DE3856483T2 (de) Verfahren zur Herstellung von Dünnschichten
DE69812869T2 (de) Verfahren zur Substratbearbeitung
DE4421109A1 (de) Verfahren zum Herstellen eines polykristallinen Halbleiterdünnfilms
DE69226520T2 (de) Anlage zur Gasquellen-Molekularstrahlepitaxie
DE19711267A1 (de) Vorrichtung zur chemischen Dampfabscheidung mit induktiv gekoppeltem Plasma
DE68920417T2 (de) Verfahren zur Herstellung eines kohlenstoffhaltigen Films.
DE69017354T2 (de) Dampfablagerungsapparat.
DE68917550T2 (de) Verfahren und Vorrichtung zur Plasmabehandlung.
DE69422550T2 (de) Verfahren zur plasmaunterstützten chemischen Abscheidung von Schichten aus der Dampfphase unter Verbesserung der Zwischenflächen
WO2017212077A2 (de) Verfahren zur herstellung eines substrates mit einer bordotierten oberfläche
DE3938830A1 (de) Geraet zur chemischen mikrowellenplasma-bedampfung
DE3507337A1 (de) Vorrichtung zur durchfuehrung von prozessen im vakuum
DE69904000T2 (de) Dünnfilm-Herstellungsvorrichtung zur Herstellung eines dünnen kristallinen Silicium-Films
WO2020069700A1 (de) Solarzellen-beschichtungsanlage
JP2010212277A (ja) 成膜装置
EP0061787B1 (de) Verfahren zum Dotieren von Trägern aus Silicium für die Halbleiterfertigung
DE69013917T2 (de) Beschichtetes dielektrisches material für einen ozongenerator.
DE3784547T2 (de) Herstellungsverfahren einer niedergeschlagenen Schicht.
DE3781748T2 (de) Beschichtungssystem, bestehend aus mehreren kammern.
DE19844538C2 (de) Verfahren zur Diamant-Beschichtung von Oberflächen
DE10141142B4 (de) Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee