DE102020115407A1 - Strukturierungsverfahren einer halbleiterstruktur mit verbesserter haftung - Google Patents

Strukturierungsverfahren einer halbleiterstruktur mit verbesserter haftung Download PDF

Info

Publication number
DE102020115407A1
DE102020115407A1 DE102020115407.0A DE102020115407A DE102020115407A1 DE 102020115407 A1 DE102020115407 A1 DE 102020115407A1 DE 102020115407 A DE102020115407 A DE 102020115407A DE 102020115407 A1 DE102020115407 A1 DE 102020115407A1
Authority
DE
Germany
Prior art keywords
layer
mepr
barc
metal
reactive chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020115407.0A
Other languages
English (en)
Inventor
Chien-Chih Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020115407A1 publication Critical patent/DE102020115407A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/003Devices or apparatus characterised by storage means for the light sensitive material, e.g. cartridges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Ein Lithographieverfahren umfasst das Bilden einer unteren Antireflexionsbeschichtung-Schicht (BARC-Schicht) auf einem Substrat, wobei die BARC-Schicht ein organisches Polymer und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren enthält, wobei die reaktive chemische Gruppe an das organische Polymer gebunden ist; das Auftragen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich für eine extreme Ultraviolettstrahlung (EUV) ist; Durchführen eines ersten Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch eine metallische chemische Struktur der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren und eine Grenzflächenschicht zwischen der MePR-Schicht und der BARC-Schicht gebildet wird; das Durchführen eines Belichtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.

Description

  • TECHNISCHER HINTERGRUND
  • Diese Anmeldung beansprucht die Priorität der am 2. August 2019 eingereichten provisorischen US-Patentanmeldung mit der Anmeldenummer 62/882,157, deren vollständige Offenbarung hiermit durch Bezugnahme hierin aufgenommen wird.
  • Bei der Herstellung von integrierten Schaltungen (ICs) wird eine strukturierte Photoresistschicht verwendet, um ein entworfenes Schaltungsmuster mit kleinen Strukturgrößen von einer Photomaske auf einen Wafer zu übertragen. Das Photoresist ist lichtempfindlich und kann durch einen Photolithographie-Prozess strukturiert werden. Darüber hinaus bietet die Photoresistschicht Widerstand gegen Ätzen oder Ionenimplantation, wozu ferner eine ausreichende Dicke erforderlich ist. Während die IC-Technologien zu immer kleineren Merkmalsgrößen fortschreiten, wird die Dicke nicht der Widerstandsanforderung entsprechend verkleinert. Eine Schärfentiefe, die ausreicht, um das dickere Photoresist abzudecken, verschlechtert die Bildauflösung. Zur Bewältigung der vorgenannten Herausforderung wird Mehrschicht-Photoresist eingeführt. Auf der anderen Seite bietet dieser Verkleinerungsprozess im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt. Eine solche Verkleinerung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht. Beispielsweise kann die traditionelle i-ArF die Auflösungsziele nicht erreichen, wie die Halbleiterfertigung die Abstände (pitches) weiter unterhalb von 20 nm-Knoten schrumpfen lässt. Die Extrem-Ultraviolett-Lithographie (EUV) wurde eingesetzt, um die CD- (Critical Dimension) Anforderungen kleinerer Vorrichtungen zu unterstützen. Bei der EUV-Lithographie werden Scanner eingesetzt, die Strahlung in EUV-Bereich mit einer Wellenlänge von etwa 1 nm bis etwa 100 nm verwenden. Der EUV-Lithographieprozess hat verschiedene Herausforderungen, darunter starke Absorptionen durch verschiedene Materialien, hohe Herstellungskosten und die Empfindlichkeit von Photoresist. Einige EUV-Scanner bieten einen 4X Reduktionsprojektionsdruck (reduction projection printing) auf eine Resistschicht, die auf einem Substrat aufgetragen ist, ähnlich zu einigen optischen Scannern, mit der Ausnahme, dass die EUV-Scanner eher reflektierende als brechende Optik und optisches Modul in der Vakuumumgebung verwenden. Das bestehende EUV-Lithographiesystem, das Photoresist und das Verfahren haben verschiedene Verbesserungen erfahren, sind dennoch nicht zufriedenstellend. Das Photoresist erfährt beispielsweise Entschäumung (scum) und Kollaps. Daher sind ein EUV-Lithographieprozess und Photoresistmaterialien erforderlich, um die vorgenannten Probleme zu beheben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Flussdiagramm eines Verfahrens für einen Photolithographie-Prozess, das gemäß Aspekten der vorliegenden Offenbarung in einer Ausführungsform konstruiert ist.
    • 1, 2, 3, 4, 5, 6, 7 und 8 zeigen Querschnittsansichten einer beispielhaften Halbleiterstruktur in verschiedenen Fertigungsstadien, konstruiert gemäß einigen Ausführungsformen.
    • 9 ist eine schematische Ansicht einer chemischen Struktur einer unteren Antireflexbeschichtung-Schicht (BARC), die in einem Photolithographie-Prozess verwendet wird, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 10, 11, 12 und 13 sind schematische Ansichten verschiedener chemischer Strukturen in der BARC-Schicht von 9, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 14 ist eine schematische Ansicht der chemischen Struktur einer BARC-Schicht, die in einem Photolithographie-Prozess verwendet wird, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 15 ist eine schematische Ansicht verschiedener chemischer Strukturen in der BARC-Schicht von 14, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 16 ist eine schematische Ansicht der chemischen Struktur einer BARC-Schicht, die in einem Photolithographie-Prozess verwendet wird, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 17 ist eine schematische Ansicht einer chemischen Struktur in einer metallhaltigen Photoresistschicht (MePR), die in einem Photolithographie-Prozess verwendet wird, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 18 ist eine schematische Ansicht einer chemischen Struktur und Reaktion der MePR-Schicht, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 19, 20 und 21 sind schematische Ansichten der Reaktionen zwischen der BARC-Schicht und der MePR-Schicht, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
    • 22 ist eine schematische Darstellung von Photosäuregeneratoren (PAGs) der BARC-Schicht und der entsprechenden Reaktion mit Photoresist, konstruiert gemäß Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Es wird davon ausgegangen, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale verschiedener Ausführungsformen liefert. Um die vorliegende Offenbarung zu vereinfachen, werden im Folgenden spezifische Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, und kann auch Ausführungsformen umfassen, in denen möglicherweise zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sind, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen. Darüber hinaus können in der vorliegenden Offenbarung Bezugszeichen in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus kann die Bildung eines Merkmals auf, in Verbindung mit und/oder gekoppelt mit, einem anderen Merkmal in der folgenden vorliegenden Offenbarung Ausführungsformen einschließen, in denen die Merkmale in direktem Kontakt gebildet werden, und auch Ausführungsformen, in denen zusätzliche Merkmale zwischen diesen Merkmalen gebildet sein können, so dass die Merkmale möglicherweise nicht in direktem Kontakt stehen. Darüber hinaus werden räumlich relative Begriffe wie beispielsweise „untere“, „obere“, „horizontal“, „vertikal“, „oben“, „über“, „oberhalb“, „unten“, „unter“, „unterhalb“, usw. sowie Ableitungen davon (beispielsweise „horizontal“, „auf/abwärts“, usw.) verwendet, um die Beziehung eines Merkmals zu einem anderen Merkmal in der vorliegenden Offenbarung zu erleichtern. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, einschließlich der Merkmale, abdecken. Ferner, wenn eine Zahl oder ein Bereich von Zahlen mit „ungefähr“, „etwa“ und dergleichen beschrieben wird, soll der Begriff Zahlen umfassen, die innerhalb eines vernünftigen Bereichs einschließlich der beschriebenen Zahl liegen, beispielsweise innerhalb von +/- 10% der beschriebenen Zahl oder andere Werte, wie sie von Fachmann verstanden werden. Beispielsweise kann der Begriff „etwa 5 nm“ den Dimensionsbereich von 4,5 nm bis 5,5 nm umfassen.
  • Die vorliegende Offenbarung bezieht sich im Allgemeinen auf Verfahren zur Herstellung von Halbleitervorrichtungen und insbesondere auf einen lithographischen Strukturierungsprozess in Verbindung mit extremer Ultraviolettstrahlung (EUV). Bei der lithographischen Strukturierung wird ein Photoresist (oder kurz: Resist), nachdem es einer Strahlung, wie beispielsweise einer EUV-Strahlung, ausgesetzt wurde, in einem Entwickler (einer chemischen Lösung) entwickelt. Der Entwickler entfernt Teile (beispielsweise unbelichtete Teile bei einem Negativ-Photoresist) des Photoresistfilms, wodurch eine Photoresiststruktur entsteht, die Linienstruktur und/oder Grabenstruktur enthalten kann. Die Photoresiststruktur wird dann in nachfolgenden Ätzprozessen als Ätzmaske verwendet, wobei die Struktur auf eine darunterliegende Materialschicht übertragen wird. Alternativ wird die Photoresiststruktur dann als Ionenimplantationsmaske in nachfolgenden Ionenimplantationsprozessen auf die darunterliegende Materialschicht, wie beispielsweise eine epitaktische Halbleiterschicht, verwendet. In der vorliegenden Ausführungsform werden der Photoresistfilm und die untere Antireflexbeschichtung-Schicht (BARC-Schicht) mit chemischen Zusammensetzungen und Verfahren so gestaltet, um ein strukturiertes Photoresist mit verbesserter Haftung, reduzierter Abschäumung und verbesserter Lithographie-Leistung herzustellen.
  • Bei strukturiertem Photoresist gibt es verschiedene Probleme wie beispielsweise Photoresist-Kollaps, Ablösen und Abschäumung. In der vorliegenden Offenbarung werden die vorgenannten Probleme durch ein Lithographieverfahren mit Zusammensetzung und Struktur der Photoresistschicht und der BARC-Schicht gelöst. Insbesondere weist die BARC-Schicht organisches Polymer auf, das mit fluorhaltigen PAGs gebunden ist, alternativ oder zusätzlich mit chelatbildenden Liganden oder abdeckende Monomeren, um die Haftung des Photoresists zu verbessern.
  • 1 ist ein Flussdiagramm eines Verfahrens 50 zur Strukturierung eines Substrats (beispielsweise eines Halbleiterwafers) gemäß verschiedenen Aspekten der vorliegenden Offenbarung in einigen Ausführungsformen. Das Verfahren 50 kann ganz oder teilweise durch ein System implementiert werden, das fortschrittliche Lithographieprozesse mit Strahlung verwendet, beispielsweise extrem ultraviolettem Licht (EUV). Zusätzliche Vorgänge können vor, während und nach dem Verfahren 50 durchgeführt werden, und einige der beschriebenen Vorgänge können ersetzt, eliminiert oder verschoben werden, um zusätzliche Ausführungsformen es Verfahrens zu erhalten.
  • 2 bis 8 sind Querschnittsansichten einer Halbleiterstruktur (eines Werkstücks) 100 in verschiedenen Fertigungsstadien nach einigen Ausführungsformen. Das Verfahren 50 und die durch das Verfahren hergestellte Halbleiterstruktur 100 werden unter Bezugnahme auf 1-22 gemeinsam beschrieben. Die Halbleiterstruktur 100 kann ein Zwischenwerkstück während der Herstellung einer integrierten Schaltung (IC) oder ein Teil davon sein. Die IC kann Logikschaltungen, Speicherstrukturen, passive Komponenten (wie Widerstände, Kondensatoren und Spulen) und aktive Komponenten wie Dioden, Feldeffekttransistoren (FETs), Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS), bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, finnenähnliche FETs (FinFETs), andere dreidimensionale (3D) FETs, wie beispielsweise Gate-All-Around,(GAA)-FETs, Nanodraht-Transistoren, Nanoblech-Transistoren und Kombinationen davon aufweisen.
  • Mit Bezug nun auf 1 in Verbindung mit 2 beginnt das Verfahren 50 bei Block 52 mit der Halbleiterstruktur 100. Die Halbleiterstruktur 100 ist ein Halbleiterwafer in der vorliegenden Ausführungsform. Die Halbleiterstruktur 100 umfasst ein Halbleitersubstrat 110, wie beispielsweise ein Siliziumsubstrat in einigen Ausführungsformen. Das Substrat 110 kann einen anderen elementaren Halbleiter enthalten, wie beispielsweise Germanium oder Diamant in einigen Ausführungsformen. Das Substrat 110 kann einen zusammengesetzten Halbleiter enthalten, wie beispielsweise Siliziumkarbid, Galliumarsen, Indiumarsenid und Indiumphosphid. Das Substrat 110 kann einen legierten Halbleiter wie Siliziumgermanium, Siliziumgermaniumkarbid, Galliumarsenphosphid und Gallium-Indiumphosphid enthalten. Das Substrat 110 kann eine oder mehrere epitaktische Halbleiterschichten enthalten, wie beispielsweise Halbleiterschicht/en, die epitaktisch auf einem Siliziumsubstrat aufgewachsen (gezüchtet) ist/sind. Beispielsweise kann das Substrat eine epitaktische Schicht haben, die über einem Bulk-Halbleiter liegt. Außerdem kann das Substrat zur Leistungssteigerung gedehnt sein. Beispielsweise kann die Epitaxieschicht Halbleitermaterialien enthalten, die sich von denen des Bulk-Halbleiters unterscheiden, wie beispielsweise eine Schicht aus Silizium-Germanium, die über einem Bulk-Silizium liegt, oder eine Schicht aus Silizium, die über einem Bulk-Silizium-Germanium liegt, das durch ein Verfahren mit selektivem epitaktischen Wachstum (SEG) gebildet ist. Darüber hinaus kann das Substrat 110 eine Halbleiter-auf-Isolator-Struktur (SOI-Struktur) aufweisen. Beispielsweise kann das Substrat eine vergrabene Oxidschicht (BOX) enthalten, die durch ein Verfahren wie die Trennung durch implantierten Sauerstoff (SIMOX) gebildet wird.
  • Die Halbleiterstruktur 100 kann auch andere Materialschichten enthalten und andere Schaltungsstrukturen aufweisen. Beispielsweise enthält die Halbleiterstruktur 100 verschiedene dotierte Merkmale, wie beispielsweise eine dotierte Wannenstruktur (beispielsweise eine P-dotierte Wanne und eine N-dotierte Wanne), die in dem Halbleitersubstrat 110 ausgebildet ist. In anderen Ausführungsformen kann die Halbleiterstruktur 100 ferner eine oder mehrere Materialschichten aufweisen, die zu strukturieren sind (durch Ätzen zum Entfernen oder durch Ionenimplantation zum Einbringen von Dotierstoffen), wie beispielsweise eine dielektrische Schicht, die zu strukturieren ist, um Gräben für Leiterbahnen oder Öffnungen für Kontakte oder Durchkontaktierungen zu bilden; einen Gate-Materialstapel, der zu strukturieren ist, um Gates zu bilden; oder ein Halbleitermaterial, das zu strukturieren ist, um Isolationsgräben zu bilden. Beispielsweise ist eine Halbleiterschicht, die ein Teil des Halbleitersubstrats 110 ist, eine zu strukturierende Materialschicht. In anderen Ausführungsformen werden mehrere Halbleitermaterialschichten, wie Galliumarsenid (GaAs) und Aluminiumgalliumarsenid (AlGaAs), epitaktisch auf dem Halbleitersubstrat aufgewachsen und strukturiert, um verschiedene Vorrichtungen, wie beispielsweise Leuchtdioden (LEDs), zu bilden. In einigen anderen Ausführungsformen umfasst die Halbleiterstruktur 100 finnen-aktive Bereiche und dreidimensionale Finnen-Feldeffekttransistoren (FinFETs), die darauf ausgebildet sind oder auszubilden sind. In anderen Ausführungsformen kann das Substrat 110 ferner epitaktisch aufgewachsene alternative Halbleitermaterialschichten enthalten, wie beispielsweise einen Stapel von mehreren Silizium- und Siliziumgermaniumschichten zur Bildung von Feldeffekttransistoren (FETs) mit vertikal gestapelten Kanälen, wie beispielsweise Gate-All-Around-FETs.
  • Auf dem Halbleitersubstrat 110 kann ein Zwei-Schicht-Photoresist oder ein Drei-Schicht-Photoresist gebildet werden. In einigen Ausführungsformen mit einem dreischichtigen Photoresist werden eine Unterschicht auf dem Halbleitersubstrat 110, eine BARC-Schicht auf der Unterschicht und eine Photoresistschicht auf der BARC-Schicht gebildet. Es wurde jedoch festgestellt, dass die strukturierte Photoresistschicht aufgrund des hohen Aspektverhältnisses und der schlechten Haftung Kollaps- oder Ablöseprobleme aufweist. Die offengelegte BARC-Schicht und die Photoresistschicht sind so gestaltet und verarbeitet, dass sie einen Mechanismus zur Bildung einer Grenzflächenschicht dazwischen bereitstellen, um die Haftfestigkeit zu erhöhen und die Kollapsprobleme zu beseitigen.
  • Weiter mit Bezug auf 2 kann eine Unterschicht 112 auf dem Halbleitersubstrat 110 gemäß einigen Ausführungsformen gebildet werden. Die Unterschicht 112 ist eingerichtet, gegen Ätzen oder Ionenimplantation beständig zu sein. Die Unterschicht 112 fungiert als Maske, um das Substrat 110 vor Ätzung oder Ionenimplantation zu schützen. Zu diesem Zweck weist die Unterschicht 112 eine ausreichende Dicke auf. In einigen Ausführungsformen enthält die Unterschicht 112 ein organisches Polymer frei von Silizium. In einigen Ausführungen umfasst die Bildung der Unterschicht 112 eine Aufschleuderbeschichtung und Aushärtung (wie beispielsweise ein thermisches Einbrennverfahren mit einer geeigneten Einbrenntemperatur). Die Unterschicht 112 kann auch dazu dienen, die obere Oberfläche zu planarisieren und die Oberflächenrauhigkeit und Höhenschwankungen des Werkstücks zu reduzieren. Alternativ ist die Unterschicht 112 eine zu strukturierende Materialschicht, wie beispielsweise eine Halbleitermaterialschicht, die zu strukturieren ist, um finnen-aktive Bereiche oder vertikal gestapelte Kanäle zu bilden; eine dielektrische Materialschicht, die zu strukturieren ist, um Gräben zur Isolierung oder Metallpfade zu bilden; oder eine leitende Materialschicht, die zu strukturieren ist, um Gate-Elektroden oder Metallleitungen zu bilden.
  • Mit Bezug auf 1 in Verbindung mit 2 umfasst das Verfahren 50 einen Vorgang 54 von Auftragen einer unteren Antireflexbeschichtung-Schicht (BARC) Schicht 114 auf das Halbleitersubstrat 110 (oder auf die untere Schicht 112, falls vorhanden). Die BARC-Schicht 114 ist ausgelegt, die Lichtreflexion zu reduzieren, um die Bildauflösung zu verbessern und den Bildkontrast des Lithographie-Belichtungsprozesses zu erhöhen. In einigen Ausführungsformen ist die BARC-Schicht 114 ferner dazu ausgelegt, Ätzselektivität von der unteren Schicht 112 so bereitzustellen, dass sie als Ätzmaske fungiert, um eine Struktur auf die untere Schicht 112 zu übertragen. Die BARC-Schicht 114 wird durch ein geeignetes Verfahren, wie beispielsweise Aufschleuderbeschichtung, aufgetragen. In der vorliegenden Ausführungsform ist die BARC-Schicht 114 eine Lösung, wenn sie auf das Werkstück aufgetragen wird, und sollte zu einem späteren Zeitpunkt ausgehärtet werden. Eine derartige aufgetragene BARC-Schicht 114 kann als Vor-Aushärtung-BARC-Schicht 114 bezeichnet werden, die eine Lösung ist, welche ein mit verschiedenen Chemikalien gemischtes Lösungsmittel enthält, wie nachstehend beschrieben.
  • Mit Bezug nun auf 1 in Verbindung mit 3 fährt das Verfahren 50 mit einem Vorgang 56 fort, indem ein erster Einbrennvorgang 115 zur Aushärtung der Vor-Aushärtung-BARC-Schicht 114 durchgeführt wird, wodurch die Vor-Aushärtung-BARC-Schicht 114 gebildet wird. Der Einbrennvorgang umfasst eine Einbrenntemperatur in einem Bereich zwischen 160 °C und 250 °C. Beim Einbrennvorgang 115 wird das Oligomer des Polymers zu einem riesigen Polymer vernetzt und ferner werden verschiedene Chemikalien gebunden.
  • Die BARC-Schicht 114 weist ein Lösungsmittel, ein Polymer und Additive auf, deren chemische Struktur in 9 gemäß einigen Ausführungsbeispielen schematisch dargestellt ist. Insbesondere enthält die BARC-Schicht 114 Kappenmonomere oder chelatbildende Liganden, die an das Grundgerüst des Polymermaterials gebunden sind.
  • Die Zusammensetzung der BARC-Schicht 114 gemäß verschiedenen Ausführungsformen wird näher beschrieben. Die BARC-Schicht 114 enthält ein geeignetes organisches Polymer 132 als Grundgerüst. Das organische Polymer 132 kann Polystyrol (PS), Polyhydroxy-Styrol (PHS)-Harzpolymer, Poly(methylmethacrylat) (PMMA) oder Poly(methylacrylat) (PMA), wie jeweils in 10 dargestellt, oder andere geeignete organische Polymere enthalten. Das organische Polymer 132 enthält mehrere Monomere, die zu einer langen Kette gebunden sind.
  • Die BARC-Schicht 114 enthält außerdem verschiedene chemische Gruppen, die chemisch an das organische Polymer 132 gebunden sind. Insbesondere enthält die BARC-Schicht 114 eine reaktive chemische Gruppe 134 (mit „H“ bezeichnet), die chemisch an das organische Polymer 132 gebunden ist. Die reaktive chemische Gruppe 134 kann durch chemische Bindungen, wie beispielsweise ionische Bindungen, kovalente Bindungen, Wasserstoffbrückenbindungen oder eine Kombination hiervon, mit dem Metall des Photoresists reagieren. In einigen Ausführungsformen kann die Struktur der reaktiven chemischen Gruppe 134 eine nichtzyklische Struktur oder eine zyklische Struktur haben, wobei die zyklische Struktur ein aromatischer oder ein nichtaromatischer Ring sein kann.
  • Die reaktive chemische Gruppe 134 kann eine C2-C30-Alkylgruppe enthalten. Die reaktive chemische Gruppe 134 kann mindestens eine der folgenden Gruppen enthalten: Diole, Dithiole, Diamine, Diphosphine, Diphosphite, Ester, Amide, Imine, Acetale, Ketale, Anhydride, Sulfonsäureester, t-Butyl, tert-Butoxycarbonyl, Iso-Norbornyl, 2-Methyl-2-adamantyl, 2-Ethyl-2-adamantyl, 3-THF, Lacton, 2-THF, und 2-THP-Gruppe und kann ferner eine funktionalisierte Gruppe wie -I, -Br, -Cl, -NH2, -COOH, -OH, -SH, -N3, -S(=O)-, Alken, Alkin, Imin, Ether, Vinylether, Acetal, Hemiacetal enthalten, Ester, Aldehyd, Keton, Amid, Sulfon, Essigsäure, Cyanid, Allen, Imin, Alkohol, Diol, Amin, Phosphin, Phosphit, Anilin, Pyridin, Pyrrol oder eine Kombination hiervon.
  • In den vorliegenden Ausführungsformen umfasst die reaktive chemische Gruppe 134 chelatbildende Liganden oder Kappenmonomere. Ein chelatbildender Ligand ist ein Ion oder Molekül, das mehrere Bindungen zu einem einzelnen Metallion oder einem Metallatom bilden kann. Beispielen für chelatbildende Liganden umfassen Monodentat, Bidentat, Tridentat, Hexadentat oder eine Kombination hiervon, wie in 11 dargestellt. Bei den aufgeführten chelatbildenden Liganden steht „R“ für eine organische Chemikalie, wie CH3, C2H5, C3H7, usw.; „M“ steht für ein Metall; „O“ steht für Sauerstoff; „N“ steht für Stickstoff; „Ru“ steht für Ruthenium; und „S“ steht für Schwefel. Das Metall „M“ umfasst Zinn (Sn), Kobalt (Co), Nickel (Ni), Eisen (Fe), Ruthenium (Ru), Rhodium (Rh), andere Übergangsmetalle oder eine Kombination hiervon. Weitere Beispiele für chelatbildenden Liganden sind 152, 154, 156 und 158 wie in 12 dargestellt, wobei „Ph“ für Phenyl, „P“ für Phosphor und „H“ für Wasserstoff steht.
  • Ein Kappenmonomer ist eine chemische Struktur, die eine ähnliche Funktion wie ein chelatbildender Ligand hat. Insbesondere kann das Kappenmonomer mit einem Metallion oder Metallatom reagieren, während sich seine Struktur nach der Reaktion ändert, so kann das Kappenmonomer beispielsweise eine funktionelle Gruppe verlieren, nachdem es an das Metallion oder Metallatom gebunden wurde. Beispiele für die Kappenmonomere umfassen 162, 164 und 166, wie in 13 dargestellt, wobei „Ts“ für Tosyl steht; und „X“ für Halogen steht, wie Fluor (F), Chlor (Cl), Brom (Br), Jod (I) oder Astat (At).
  • Mit Bezug wieder auf 9 enthält die BARC-Schicht 114 eine polare Gruppe 136 (mit „D“ bezeichnet), die chemisch an das organische Polymer 132 gebunden ist. Die polare Gruppe 136 wird hinzugefügt, um die Haftung zwischen der BARC-Schicht 114 und der darüber liegenden Photoresistschicht, die auszubilden ist, zu verbessern. Die polare Gruppe 136 kann auch die Abschäumung reduzieren und den Effekt der Entwicklung erhöhen. Die polare Gruppe kann mit den Metallkomplexen oder Metallionen über kovalente Bindung, ionische Bindung, Ionen-Dipol-Wechselwirkungen, Dipol-Dipol-Wechselwirkungen, ioneninduzierte Dipol-Wechselwirkungen, dipolinduzierte Dipol-Wechselwirkungen und Wasserstoffbrückenbindung reagieren. Zu den Beispielen der polaren Gruppe 136 gehören O-H, SH, Amin, Amid, Ester, usw. In einigen Ausführungsformen enthält die polare Gruppe 136 eine Alkylgruppe zur Adhäsion. Die Struktur der polaren Gruppe kann eine nichtzyklische Struktur oder eine zyklische Struktur haben, wobei die zyklische Struktur ein aromatischer oder ein nichtaromatischer Ring sein kann. Die polare Gruppe 136 kann eine C1-C30-Alkylgruppe zur Haftung von Photoresist enthalten. Die Alkylgruppe kann eine Kohlenstoffgruppe oder eine funktionalisierte Gruppe wie -I, -Br, -Cl, -NH2, -COOH, -OH, -SH, -N3, -S(=O)-, Alken, Alkin, Imin, Ether, Ester, Aldehyd, Keton, Amid, Sulfon, Essigsäure, Cyanid, Allen, Alkohol, Diol, Amin, Phosphin, Phosphit, Anilin, Pyridin, Pyrrol oder eine Kombination hiervon enthalten.
  • Mit Bezug weiter auf 9 kann die BARC-Schicht 114 eine assistierte organische Gruppe 138 (mit „B“ bezeichnet) enthalten, die chemisch an das organische Polymer 132 gebunden ist. Die assistierte organische Gruppe 138 wird hinzugefügt, um die Haftung zu erhöhen und die Strukturierung des Photoresists zu steuern. In verschiedenen Ausführungsformen ist die assistierte organische Gruppe die zweite polare Gruppe oder alternativ nur die nichtpolare Gruppe für die Haftung, abhängig von der Wechselwirkung zwischen dem Photoresist und der BARC-Schicht. Wenn die erste polare Gruppe für die Adhäsion nicht ausreicht, wird die zweite polare Gruppe, die verschieden von der ersten polaren Gruppe ist, zur Verbesserung der Wechselwirkung hinzugefügt. Wenn die Wechselwirkung der ersten polaren Gruppe zu stark ist, um das unbelichtete Photoresist zu entfernen, werden einige nichtpolare Gruppen hinzugefügt, um die Wechselwirkung auszugleichen. Daher enthält die assistierte organische Gruppe C1-C30 genauso wie die polare Gruppe „D“, und die assistierte organische Gruppe kann über Londoner Dispersionskräfte, Ionen-Dipol-Wechselwirkungen, Dipol-Dipol-Wechselwirkungen, ioneninduzierte Dipol-Wechselwirkungen, dipolinduzierte Dipol-Wechselwirkungen und Wasserstoffbrückenbindungen mit den Metallkomplexen oder Metallionen reagieren. Beispiele für die assistierte organische Gruppe 138 umfassen eine Alkylgruppe zur Adhäsion. Die Struktur der unterstützten organischen Gruppe 138 kann eine nichtzyklische Struktur oder eine zyklische Struktur aufweisen, wobei die zyklische Struktur ein aromatischer oder ein nichtaromatischer Ring sein kann. Die unterstützte organische Gruppe 138 kann eine C1-C30-Alkylgruppe für die Photoresisthaftung aufweisen. Die Alkylgruppe kann eine Kohlenstoffgruppe oder eine funktionalisierte Gruppe wie -I, -Br, -Cl, -NH2, -COOH, -OH, -SH, -N3, -S(=O)-, Alken, Alkin, Imin, Ether, Ester, Aldehyd, Keton, Amid, Sulfon, Essigsäure, Cyanid oder eine Kombination hiervon enthalten.
  • In einigen Ausführungsformen enthält die BARC-Schicht 114 außerdem Photosäuregeneratoren (PAGs) 140, Thermosäuregeneratoren (TAGs) 142, Vernetzer 144 (mit „C“ bezeichnet) und andere Additive 146, die in der BARC-Schicht 114 verteilt oder alternativ chemisch an das organische Polymer 132 der BARC-Schicht 114 gebunden sind. Die PAGs 140 sind Moleküle, die sich zersetzen und bei der Absorption von Photoenergie eine kleine Menge Säure bilden. Es ist zu beachten, dass in der vorliegenden Ausführungsform die PAGs der BARC-Schicht 114 hinzugefügt sind, anstatt des Photoresists. Die PAG-Kationen können die Abschäumung reduzieren und den Footing-Effekt verringern, bei dem der untere Teil des Photoresists nicht ausreichend belichtet wird. Ein Beispiel für die PAGs 140 ist Triphenylsulfoniumtriflat mit der Formel [(C6H5)3S+][CF3SO3 -]. Die TAGs 142 sind Moleküle, die bei erhöhter Temperatur oder einem thermischen Prozess mit höherer Temperatur Säure erzeugen. Ein Beispiel für die TAGs 142 ist Triflouromethansulfonsäure. Die Vernetzer 144, wenn von der BARC-Schicht 114 in das Photoresist diffundiert, vernetzen das Photoresist weiter für einen verbesserten Belichtungseffekt. Beispiele für die Vernetzer 144 sind Tetramethylolglykoluril, EDC (1-Ethyl-3-(3-dimethylaminopropyl)-Carbodiimidhydrochlorid), Formaldehyd, Glutaraldehyd und andere multifunktionelle Vernetzungsmittel. In einigen Ausführungsformen können die Additive 146 Tenside und kleinere Lösungsmittel sein. Die Vernetzer können die Vernetzungsdichte des BARC beeinflussen und chemische Auswirkungen auf die Vermischung von BARC und Photoresist haben. Wenn es sich bei den Additiven um Tenside und kleinere Lösungsmittel handelt, können die Additive die BARC-Beschichtung und die BARC-Vernetzungseffizienz verbessern. Verbesserte BARC-Beschichtung und Vernetzungseffizienz sind hilfreich für die Strukturierung des Photoresists.
  • Alternativ kann die BARC-Schicht 114 eine andere Zusammensetzung und Struktur aufweisen, wie in 14 gemäß einigen Ausführungsformen schematisch dargestellt ist. Die BARC-Schicht 114 enthält ein polymeres Material (Polymer), Lösungsmittel und Additive. Insbesondere enthält die BARC-Schicht 114 PAG, das an das Grundgerüst des Polymermaterials gebunden ist.
  • Die BARC-Schicht 114 in 14 ist der BARC-Schicht 114 in 9 ähnlich mit einigen Unterschieden. Ähnliche Teile werden der Einfachheit halber nicht wiederholt. Wie in 14 dargestellt, enthält die BARC-Schicht 114 fluorhaltige PAGs 172 (mit „J-Fx“ bezeichnet), die chemisch an das organische Polymer 132 gebunden sind. Das fluorhaltige PAG 172 ist ein Photosäuregenerator, der Fluor-Atome (F) enthält.
  • Die fluorhaltigen PAGs 172 sind eine lichtempfindliche chemische Gruppe und erzeugen Säuren durch Lichtbestrahlung in dem belichteten Bereich während eines Lithographie-Belichtungsprozesses. Die fluorhaltigen PAGs 172 können eine neutrale Gruppe oder eine gepaarte ionische Gruppe mit getrenntem Kation und Anion aufweisen. Die fluorhaltigen PAGs 172 enthalten ein Paar ionischer Gruppen, die an das organische Polymer 132 anion-gebunden oder kation-gebunden sein können. Die fluorhaltigen PAGs 172 können eine C3-C50-Alkylgruppe mit Fluoratomen und mindestens eine lichtempfindliche funktionelle Gruppe enthalten, wie Sulfoniumsalze, Triphenylsulfoniumtriflat, Triphenylsulfoniumnonafalte, Dimethylsulfoniumtriflat, Indoniumsalze, Diphenyliodonium-Nonaflat, Norbornen-Dicarboximidyl-Nonaflat, Epoxid, Azoverbindungen, Alkylhalogenid, Imin, Alken, Alkin, Peroxid, Keton, Aldehyd, Allen, aromatische Gruppen oder heterocyclische Gruppen. Die aromatischen Strukturen können Phenyl, Naphthlenyl, Phenanthrenyl, Anthracenyl, Phenalenyl und andere aromatische Derivate mit drei- bis zehngliedrigen Ringen sein. Einige Beispiele für die fluorhaltigen PAGs 172 sind in 15 dargestellt
  • In anderen Ausführungsformen kann die BARC-Schicht 114 eine Struktur haben, die in 16 gemäß einigen Ausführungsformen schematisch dargestellt ist. Die BARC-Schicht 114 umfasst ein polymeres Material (Polymer), Lösungsmittel und Additive. Insbesondere enthält die BARC-Schicht 114 die fluorhaltigen PAGs 172 und die reaktive chemische Gruppe 134, die an das organische Polymer 132 gebunden ist.
  • Wie oben in 2 gezeigt, ist die BARC-Schicht 114, die bei dem Vorgang 54 auf das Werkstück 100 aufgetragen wird, eine Lösung, bevor sie bei dem Vorgang 56 ausgehärtet wird. Genauer gesagt ist die Vor-Aushärtung-BARC-Schicht 114 eine Lösung, die ein Lösungsmittel enthält; Oligomer des organischen Polymers 132 mit verschiedenen chemischen Gruppen, wie beispielsweise der reaktiven chemischen Gruppe 134, der polaren Gruppe 136, den PAGs 140, usw.
  • Die Vor-Aushärtung-BARC-Schicht 114 wird in 3 bei dem Vorgang 54 durch den Einbrennvorgang 115 ausgehärtet. Der Einbrennvorgang 115 aktiviert die Vernetzer 144, um das Oligomer zu vernetzen und das organische Polymer 132 mit der reaktiven chemischen Gruppe 134 und einigen anderen chemischen Gruppen (wie 136, 138, usw.) zu bilden. Ferner erzeugen die TAGs 142 während des Einbrennvorgangs 115 Säuren, und die erzeugte Säure fördert den Vernetzungs- oder Polymerisationsprozess. Die Einbrenntemperatur ist so ausgelegt, dass wirksam die Vernetzung zur Bildung des organischen Polymers 132 mit den chemischen Gruppen (wie 134 und 172) zum organischen Polymer 132 initiiert und die TAGs 142 aktiviert. Gemäß einigen Ausführungsformen umfasst der Einbrennprozess eine Einbrenntemperatur zwischen 160 °C und 250 °C.
  • Mit Bezug auf 1 in Verbindung mit 4 umfasst das Verfahren 50 einen Vorgang 58 von Auftragen einer Photoresistschicht 116 auf die BARC-Schicht 114, beispielsweise durch einen Aufschleuderbeschichtungsprozess. Die Photoresistschicht 116 ist empfindlich gegenüber der Lichtstrahlung des später anzuwendenden Lithographie-Belichtungsprozesses. In der vorliegenden Ausführungsform ist die Photoresistschicht 116 empfindlich für EUV-Strahlung. Die Photoresistschicht 116 enthält Metall, um die Empfindlichkeit der Photoresistschicht zu erhöhen, weshalb sie auch als metallhaltige Photoresistschicht 116 (MePR) bezeichnet wird. Das Metall in der MePR-Schicht 116 hat eine für die EUV-Empfindlichkeit ausreichende Konzentration, wie beispielsweise mehr als 5% (Gewichtsprozent) der MePR-Schicht 116. In verschiedenen Beispielen enthält die MePR-Schicht 116 ein oder mehrere Metallelemente, wie Zinn (Sn), Kobalt (Co), Nickel (Ni), Eisen (Fe), Ruthenium (Ru), Rhodium (Rh) oder eine Kombination hiervon. Das Metall der MePR-Schicht 116 ist empfindlich gegenüber (für) EUV-Strahlung. Die Struktur der MePR-Schicht 116 kann in einer geeigneten Form vorliegen, beispielsweise als ein metallhaltiges anorganisches Polymer; Metallcluster; Metallmoleküle; Metalloxidchemikalie oder eine Kombination hiervon. Ein Metallmolekül ist ein Metallkomplex oder eine Metallverbindung. Eine Metalloxid-Chemikalie ist eine chemische Struktur mit Metalloxid mit einem äußeren organischen Liganden. Ein Metallcluster ist eine chemische Struktur mit mehreren Metallzentren, die zusammen geclustert sind, wie beispielsweise ein Metallcluster 176 mit mehreren Sn, wie in 17 dargestellt.
  • Mit Bezug auf 18 wird die photochemische Reaktion der MePR am Beispiel eines Sn-haltigen Moleküls 180 näher beschrieben. Das Sn-haltige Molekül 180 enthält ein Zinnoxid SnOx, wobei „x“ eine ganze Zahl ist, beispielsweise 4. Bei EUV-Strahlung absorbiert das Sn-haltige Molekül 180 die EUV-Energie und hat eine homolytische Spaltung der Sn-C-Bindung, wodurch es in ein Zinnoxidradikal 182 und ein n-Butylradikal 184 dissoziiert. Die Zinnoxidradikale 182 haben außerdem eine H/O2/HO2-Abstraktion (reagiert mit H, O2, HO2 oder einer Kombination hiervon) und bilden einen Zinnoxidkomplex, wie beispielsweise 186, 188, 190 oder 192, wie in 18 dargestellt. Diese Zinnoxidkomplexe binden sich weiter zusammen, um durch eine Polymerisation, beispielsweise durch einen Einbrennvorgang, ein anorganisches Polymer zu bilden. Auf diese Weise erfahren die belichteten Teile der MePR-Schicht 116 eine Polymerisation und überstehen den Entwickler, während die unbelichteten Teile in dem Entwickler gelöst und entfernt werden. Daher handelt es sich bei der offengelegten MePR nach der vorliegenden Ausführungsform um einen Negativ-Photoresist. Es ist zu beachten, dass die MePR-Schicht 116 eine Lösung ist, wenn sie aufgetragen wird, und Lösungsmittel, metallhaltige Chemikalien (wie Sn-haltige Moleküle) und andere Additive enthalten kann. In diesem Beispiel wird das anorganische Polymer nach dem Lithographie-Belichtungsprozess gebildet.
  • Mit Bezug auf 1 in Verbindung mit 5 fährt das Verfahren 50 mit einem Vorgang 60 fort, wobei ein zweiter Einbrennvorgang 119 an der MePR-Schicht 116 durchgeführt wird, wodurch das Metall der MePR-Schicht 116 mit reaktiven chemischen Gruppen 134 der BARC-Schicht 114 reagiert und eine Grenzflächenschicht 118 zwischen der BARC-Schicht 114 und der MePR-Schicht 116 gebildet wird. Die Grenzflächenschicht 118 und die Bindung zwischen dem Metall der MePR-Schicht 116 und den reaktiven chemischen Gruppen 134 der BARC-Schicht 114 erhöhen die Haftfestigkeit und eliminieren oder reduzieren die Probleme des Kollapses und Ablösens des Photoresists.
  • Die Grenzflächenschicht 118 und die entsprechende Reaktion zur Bildung derselben werden im Folgenden anhand verschiedener Beispiele näher beschrieben. Wie vorstehend beschrieben enthalten die reaktiven chemischen Gruppen 134 Kappenmonomere, chelatbildende Liganden oder beides. Ein Beispiel ist in 19 dargestellt. In diesem Beispiel weist die MePR-Schicht 116 eine Metallstruktur 194 mit Metalloxid auf, das mit OH-Gruppen gebunden ist, während die reaktive chemische Gruppe 134 der BARC-Schicht 114 ein Kappenmonomer 164 (wie in 13 dargestellt) mit Sauerstoff „O“ und einem Halogen „X“ enthält, die aneinander gebunden sind. Während des zweiten Einbrennvorgangs 119 reagiert das Kappenmonomer 164 mit der Metallstruktur 194 und bildet eine andere metallhaltige chemische Struktur 196. In dieser Reaktion reagiert das Kappenmonomer 164 mit M-OH-Bindungen der MePR-Schicht 116. Konkret werden die M-OH-Bindung der MePR-Schicht 116 und die C-X-Bindung des Kappenmonomers 164 zu einer C-O-M-Bindung kondensiert.
  • Ein weiteres Beispiel ist in 20 (A) dargestellt. In diesem Beispiel enthält die MePR-Schicht 116 eine Metallstruktur 200 mit einem Metall, das mit OH-Gruppen gebunden ist, während die reaktive chemische Gruppe 134 der BARC-Schicht 114 ein Kappenmonomer 162 (wie in 13 dargestellt) mit einem Cyclohexylring enthält, der mit OH-Gruppen gebunden ist. Während des zweiten Einbrennvorgangs 119 reagiert das Kappenmonomer 162 mit der Metallstruktur 200 und bildet eine metallhaltige chemische Struktur 202 durch eine Dehydratisierungsreaktion.
  • Ein weiteres Beispiel ist in 20 (B) dargestellt. In diesem Beispiel enthält die MePR-Schicht 116 die Metallstruktur 200, während die reaktive chemische Gruppe 134 der BARC-Schicht 114 das Kappenmonomer 164 aufweist. Während des zweiten Einbrennvorgangs 119 reagiert das Kappenmonomer 164 mit der Metallstruktur 200 und bildet eine metallhaltige chemische Struktur 204 durch eine Kondensationsreaktion.
  • 21 zeigt zwei Beispiele für die Reaktion der MePR-Schicht 116 und der BARC-Schicht 114, in denen die reaktive chemische Gruppe 134 chelatbildende Liganden enthält. Ein Beispiel ist in 21 (A) dargestellt. In diesem Beispiel enthält die MePR-Schicht 116 die Metallstruktur 200, während die reaktive chemische Gruppe 134 der BARC-Schicht 114 den chelatbildende Liganden 152 enthält (wie in 12 dargestellt). Während des zweiten Einbrennvorgangs 119 reagiert der chelatbildende Ligand 152 mit der Metallstruktur 200 und bildet eine metallhaltige chemische Struktur 206 durch eine Dehydratisierungsreaktion.
  • Ein weiteres Beispiel ist in 21 (B) dargestellt. In diesem Beispiel enthält die MePR-Schicht 116 die Metallstruktur 200, während die reaktive chemische Gruppe 134 der BARC-Schicht 114 den chelatbildende Liganden 152 enthält. Während des zweiten Einbrennvorgangs 119 reagiert der chelatbildende Ligand 152 mit der Metallstruktur 200 und bildet eine metallhaltige chemische Struktur 208 durch eine Koordinationsreaktion.
  • Im Allgemeinen umfasst die Wechselwirkung zwischen dem Metall der MePR-Schicht 116 und der reaktiven chemischen Gruppe 134 der BARC-Schicht 114 eine ionische Bindung, kovalente Bindung, Wasserstoffbindung oder eine Kombination hiervon.
  • In Anlehnung an 5 bildet die Reaktion zwischen dem Metall der MePR-Schicht 116 und der reaktiven chemischen Gruppe 134 der BARC-Schicht 114 die Grenzflächenschicht 118. Die Grenzflächenschicht 118 enthält das Metall 120 aus der MePR-Schicht 116. Insbesondere ist das Metall 120 chemisch sowohl an die darüberliegende MePR-Schicht 116 als auch an die darunterliegende BARC-Schicht 114 gebunden, wodurch die Haftfestigkeit erhöht wird. Da das Metall außerdem an die MePR-Schicht 116 gebunden ist, und die reaktive chemische Gruppe 134 an das organische Polymer 132 gebunden ist, können beide nicht diffundieren. Wenn die Bindung zwischen dem Metall der MePR-Schicht 116 und der reaktiven chemischen Gruppe 134 der BARC-Schicht 114 gebildet wird, wird sie in einer sehr dünnen Schicht verteilt. In einigen Beispielen liegt die Dicke der Grenzflächenschicht 118 zwischen 0,5 nm und 2 nm.
  • In einigen Ausführungsformen enthält die BARC-Schicht 114 fluorhaltige PAGs 172, die zusätzlich oder alternativ an das organische Polymer 132 gebunden sind. Wie vorstehend beschrieben, können die PAGs in der BARC-Schicht 114 den Lithographieprozess verbessern, beispielsweise kann die Säure, die aus den PAGs bei EUV-Bestrahlung entsteht, in die MePR-Schicht 116 diffundieren, den Belichtungseffekt verstärken und das Footing-Problem reduzieren. Ferner können die gebundenen fluorhaltigen PAGs 172 die Bindung zwischen dem Metall der MePR-Schicht 116 und der reaktiven chemischen Gruppe 134 der BARC-Schicht 114 weiter verbessern und dadurch die Haftung erhöhen. Dies ist in 22 dargestellt.
  • Wenn die PAGs nicht gebunden sind, dissoziieren die PAGs bei EUV-Strahlung zu PAG-Kationen (H+) 210 und PAG-Anionen 212, wie in 22(A) dargestellt. Die in die MePR-Schicht 116 diffundierten PAG-Anionen 212 hemmen die Bildung von M-O-Bindungen (wie beispielsweise Sn-O-Bindungen), wodurch die Photoresiststruktur kollabiert und die Linienbreite-Rauhigkeit (line-width roughness, LWR) verschlechtert wird, wie in 22(B) dargestellt. Der Mechanismus der Hemmung ist in 22(C) veranschaulicht. Beispielsweise verbinden sich die chelatbildenden Liganden (als „L“ bezeichnet) der BARC-Schicht 114 und das Metall der MePR-Schicht 116 nach dem ersten Einbrennprozess 115. Bei EUV-Bestrahlung während des Lithographie-Belichtungsprozesses bilden das Metall und der Sauerstoff (mit „O“ bezeichnet) M-O-Bindungen aus und werden während eines Einbrennprozesses, wie beispielsweise Einbrennen nach der Belichtung (post exposure baking, PEB), weiter zu metallhaltigen anorganischen Polymeren 208 vernetzt. Die metallhaltigen anorganischen Polymere 218 in den belichteten Bereichen sind unlöslich in dem Entwickler, während die unbelichteten Bereiche in dem Entwickler gelöst und entfernt werden, so dass sie ordnungsgemäß als Negativ-Photoresist fungieren. Wenn die nichtgebundenen PAG-Anionen 212 jedoch in die MePR-Schicht 116 diffundieren, wird das Metall an die PAG-Anionen 212 gebunden. Dadurch wird die Bildung der M-O-Bindung während des Einbrennprozesses gehemmt.
  • Wenn die PAGs an das organische Polymer 132 der BARC-Schicht 114 gebunden werden, dissoziieren die PAGs bei EUV-Strahlung zu PAG-Kationen (H+) 210 und gebundenen PAG-Anionen 220, wie in 22(D) dargestellt. Die gebundenen PAG-Anionen 220 können nicht tief in die MePR-Schicht 116 diffundieren und modifizieren die Oberfläche der MePR-Schicht 116, wodurch die Qualität der Photoresiststruktur verbessert wird und weniger Probleme wegen Kollaps, Abschäumung und LWR auftreten.
  • Mit Bezug auf 1 in Verbindung mit 6 fährt das Verfahren 50 mit einem Vorgang 62 fort, wobei ein Belichtungsprozess 122 an der MePR-Schicht 116 unter Verwendung einer EUV-Strahlung aus einem EUV-Lithographiesystem durchgeführt wird, wodurch eine latente Struktur der MePR-Schicht 116 gebildet wird, die die unbelichteten Teile 116a und die belichteten Teile 116b umfasst. Da die Grenzflächenschicht 118 auch das Metall 120 enthält und empfindlich gegenüber der EUV-Strahlung ist, kann die latente Struktur auch auf die Grenzflächenschicht 118 ausgedehnt werden. In der vorliegenden Ausführungsform hat die EUV-Strahlung eine Wellenlänge von 13,5 nm. Der Vorgang 62 wird in einem Vakuum durchgeführt. In einigen Ausführungsformen wird der Strahl auf die MePR-Schicht 116 gerichtet, um in einem geeigneten Belichtungsmodus, wie Step-and-Scan, ein Abbild einer Schaltungsstruktur zu erzeugen, die auf einer Photomaske (beispielsweise einer Reflexionsmaske) definiert ist. Verschiedene Techniken zur Auflösungsverbesserung, wie beispielsweise Phasenverschiebung, Off-Axis Illumination (OAI) und/oder Optical Proximity Correction (OPC), können durch die Photomaske oder den Belichtungsprozess verwendet oder implementiert werden. Beispielsweise können die OPC-Merkmale in die Schaltungsstruktur auf der Photomaske integriert werden. In einem anderen Beispiel ist die Photomaske eine Phasenverschiebungsmaske, wie beispielsweise eine alternative Phasenverschiebungsmaske, eine abgeschwächte Phasenverschiebungsmaske oder eine chromlose Phasenverschiebungsmaske. In einem weiteren Beispiel wird der Belichtungsprozess in einem außeraxialen Beleuchtungsmodus implementiert. In einigen anderen Ausführungsformen wird der Strahl direkt mit einer vordefinierten Struktur (beispielsweise einem IC-Layout) moduliert, ohne dass eine Photomaske verwendet wird (beispielsweise mit einem digitalen Strukturgenerator oder in einem Direktschreibmodus).
  • Mit Bezug auf 1 kann das Verfahren 50 mit einem Vorgang 64 fortfahren, indem die Halbleiterstruktur 100, insbesondere die MePR-Schicht 116, einem Einbrennprozess nach Belichtung (post exposure baking, PEB) unterzogen wird. In einer speziellen Ausführungsform kann der PEB-Prozess in einer Wärmekammer bei einer Temperatur zwischen etwa 120 °C und etwa 200 °C durchgeführt werden. Diese Bildung der Grenzflächenschicht 118 und die entsprechende Reaktion kann zusätzlich während des PEB-Prozesses fortgesetzt und verstärkt werden oder alternativ beim PEB-Prozess initiiert werden, bevor die MePR-Schicht 116 durch den Entwicklungsprozess strukturiert wird.
  • Mit Bezug nun auf 1 in Verbindung mit 7 kann das Verfahren 50 mit einem Vorgang 66 fortfahren, wobei die MePR-Schicht 116 in einem Entwickler entwickelt wird. Durch den Entwicklungsprozess wird eine strukturierte Photoresistschicht (PR) 116' gebildet. In der vorliegenden Ausführungsform ist die MePR-Schicht 116 ein Negativ-Photoresist und die belichteten Bereiche 116b der MePR-Schicht 116 erfahren eine Vernetzung und Polymerisation, bleiben also nach dem Entwicklungsprozess erhalten. Die strukturierte PR-Schicht 116' weist verschiedene Öffnungen 124 auf, die eine Struktur definieren, die auf die darunterliegende Schicht 112 übertragen wird. Der Entwickler kann ein wässriges Lösungsmittel wie Tetramethylammoniumhydroxid (TMAH) oder alternativ ein organisches Lösungsmittel wie Butylacetat enthalten.
  • Mit Bezug auf 1 und 8 umfasst das Verfahren 50 einen Vorgang 68, bei dem ein Herstellungsprozess 126 auf die Halbleiterstruktur 100 unter Verwendung der strukturierten Resistschicht 116' als Maske durchgeführt wird, so dass der Herstellungsprozess nur auf die Teile der Halbleiterstruktur 100 innerhalb der Öffnungen 124 der strukturierten Resistschicht 116' angewendet wird, während andere Teile, die von der strukturierten Resistschicht 116' bedeckt sind, vor einer Beeinflussung durch den Herstellungsprozess geschützt werden. In einigen Ausführungsformen umfasst der Herstellungsprozess einen Ätzprozess, der auf die untere Schicht 112 unter Verwendung der strukturierten Resistschicht 116' als Ätzmaske angewendet wird, wodurch die Struktur von der strukturierten Resistschicht 116' auf die untere Schicht 112 übertragen wird. In alternativen Ausführungsformen umfasst der Herstellungsprozess einen Ionenimplantationsprozess, der auf die Halbleiterstruktur 100 unter Verwendung der strukturierten Resistschicht 116' als Implantationsmaske angewendet wird, wodurch verschiedene dotierte Merkmale in der Halbleiterstruktur 100 gebildet werden.
  • In dem vorliegenden Beispiel ist die untere Schicht 112 eine Hartmaske. Ferner in dieser Ausführungsform wird die Struktur zunächst von der strukturierten Resistschicht 116' auf die Hartmaskenschicht 112 und dann auf andere Schichten des Substrats 110 übertragen. Beispielsweise kann die Hartmaske 112 durch Öffnungen der strukturierten Resistschicht 116' geätzt werden, indem eine Trocken-(Plasma-)Ätzung, eine Nassätzung und/oder andere Ätzmethoden angewendet werden. Das Trockenätzverfahren kann ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas, ein chlorhaltiges Gas, ein bromhaltiges Gas, ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen hiervon verwenden. Die strukturierte Resistschicht 116' kann während des Ätzens der Hartmaske 112 teilweise verbraucht werden. In einer Ausführungsform kann der verbleibende Teil der strukturierten Resistschicht 116' abgezogen werden, wobei die strukturierte Hartmaske 112 über dem Substrat 110 verbleibt.
  • Obwohl in 1 nicht gezeigt, kann das Verfahren 50 andere Vorgänge vor, während oder nach den oben beschriebenen Operationen umfassen. In einer Ausführungsform ist das Substrat 110 ein Halbleitersubstrat, und das Verfahren 50 führt zur Bildung von Vorrichtungen, wie Fin-Feldeffekttransistor-Strukturen (FinFET) oder GAA-Transistoren. In dieser Ausführungsform umfasst das Verfahren 50 die Bildung von mehreren aktiven Finnen in dem Substrat 110. Ferner in der Ausführungsform umfasst der Vorgang 68 das Ätzen des Substrats 110 durch die Öffnungen der strukturierten Hartmaske 112, um Gräben in dem Substrat 110 zu bilden; das Füllen der Gräben mit einem dielektrischen Material; die Durchführung eines chemischmechanischen Polierverfahrens (CMP), um flache Grabenisolationsmerkmale (STI) zu bilden; und das epitaktische Aufwachsen oder Aussparen der STI-Merkmale, um finnen-ähnliche aktive Bereiche zu bilden. In einer anderen Ausführungsform umfasst das Verfahren 50 weitere Vorgänge zur Bildung von mehreren Gate-Elektroden auf dem Substrat 110. Das Verfahren 50 kann außerdem Gate-Abstandshalter, dotierte Source/Drain-Gebiete, Kontakte für Gate/ Source/Drain-Merkmale, usw. bilden. In einer anderen Ausführungsform ist eine Zielstruktur als Metallleitungen in einer mehrschichtigen Verbindungsstruktur auszubilden. Beispielsweise können die Metallleitungen in einer Zwischenschicht-Dielektrikumsschicht (interlayer dielectric layer, ILD-Schicht) des Substrats 110 gebildet werden, das bei Vorgang 68 geätzt wurde, um mehrere Gräben zu bilden. Bei dem Verfahren 50 werden die Gräben mit einem leitfähigen Material wie einem Metall gefüllt, und das leitfähige Material wird weiter mit einem Verfahren wie CMP poliert, um die strukturierte ILD-Schicht freizulegen und dadurch die Metallleitungen in der ILD-Schicht zu bilden. Die vorgenannten Beispiele sind nicht einschränkende Beispiele für Vorrichtungen/Strukturen, die unter Verwendung des Verfahrens 50 und der MePR-Schicht 116 gemäß den verschiedenen Aspekten der vorliegenden Offenbarung hergestellt und/oder verbessert werden können.
  • Die vorliegende Offenbarung stellt die MePR-Schicht 116 und die darunter liegende BARC-Schicht 114 sowie das Verfahren 50 vor, um verschiedene Eigenschaften dieser Materialien einzusetzen, den EUV-Lithographieprozess zu implementieren, die BARC-Schicht 114 mit der MePR-Schicht 116 zu interagieren, um die Grenzflächenschicht 118 zu bilden, um die Haftfestigkeit zu erhöhen und die Abschäumung des Photoresists zu reduzieren. Insbesondere enthält die BARC-Schicht 114 die reaktive chemische Gruppe 134 (wie chelatbildende Liganden oder Kappenmonomere) und die fluorhaltigen PAGs, die an das organische Polymer 132 der BARC-Schicht 114 gebunden sind. Mit dem Verfahren 50, der MePR-Schicht 116, der darunter liegenden BARC-Schicht 114 und der dazwischen liegenden Grenzflächenschicht 118 wie hierin beschrieben werden Probleme wegen Kollaps, Ablösen und Abschäumung des Photoresists reduziert oder eliminiert.
  • In einem beispielhaften Aspekt schafft die vorliegende Offenbarung ein LithographieVerfahren zur Halbleiterherstellung. Das Verfahren umfasst das Bilden einer unteren Antireflexbeschichtung-Schicht (BARC-Schicht) auf einem Substrat, wobei die BARC-Schicht ein organisches Polymer und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren enthält, wobei die reaktive chemische Gruppe an das organische Polymer gebunden ist; das Auftragen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich gegenüber extremer Ultraviolettstrahlung (EUV) ist; das Durchführen eines ersten Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch eine metallische chemische Struktur der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht miteinander reagieren und eine Grenzflächenschicht zwischen der MePR-Schicht und der BARC-Schicht gebildet wird; das Durchführen eines Belichtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  • In einem weiteren beispielhaften Aspekt schafft die vorliegende Offenbarung ein Lithographie-Verfahren zur Halbleiterherstellung. Das Verfahren umfasst das Bilden einer unteren Schicht auf einem Halbleitersubstrat; das Bilden einer unteren Antireflexbeschichtung-Schicht (BARC-Schicht) auf der unteren Schicht, wobei die BARC-Schicht ein organisches Polymer, einen fluorhaltigen Photosäuregenerator (PAG) und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren umfasst, wobei die reaktive chemische Gruppe und der fluorhaltige PAG an das organische Polymer gebunden sind; das Auftragen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich für eine extrem ultraviolette (EUV) Strahlung ist; Durchführen eines Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch ein Metall der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren, um eine Grenzflächenschicht zwischen der MePR-Schicht und der BARC-Schicht zu bilden, wobei die Grenzflächenschicht eine chemische Bindung zwischen dem Metall und der reaktiven chemischen Gruppe aufweist; das Durchführen eines Belichtungsverfahrens unter Verwendung der EUV-Strahlung an der MePR-Schicht; und das Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  • In einem weiteren beispielhaften Aspekt schafft die vorliegende Offenbarung ein Lithographie-Verfahren zur Halbleiterherstellung. Das Verfahren umfasst die Bildung einer unteren Antireflexionsbeschichtung-Schicht (BARC-Schicht) auf dem Halbleitersubstrat, wobei die BARC-Schicht ein organisches Polymer, einen fluorhaltigen Photosäuregenerator (PAG) und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren umfasst, wobei die reaktive chemische Gruppe und der fluorhaltige PAG an das organische Polymer gebunden sind; das Auftragen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich gegenüber einer extremen Ultraviolettstrahlung (EUV) ist; das Durchführen eines Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch ein Metall der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren, um eine chemische Bindung zwischen dem Metall und der reaktiven chemischen Gruppe zu bilden; das Durchführen eines Belichtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und das Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  • Vorstehend wurden Merkmale verschiedener Ausführungsformen umrissen, damit der Fachmann die detaillierte Beschreibung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung ohne weiteres als Grundlage für die Gestaltung oder Änderung anderer Prozesse und Strukturen verwendet werden kann, um die gleichen Zwecke zu verwirklichen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erreichen. Der Fachmann sollte auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang dieser Offenbarung abweichen, und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen vornehmen kann, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.

Claims (20)

  1. Verfahren umfassend: Ausbilden einer unteren BARC-Schicht auf einem Substrat, wobei die BARC-Schicht ein organisches Polymer und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren enthält, wobei die reaktive chemische Gruppe an das organische Polymer gebunden ist; Aufbringen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich für eine extrem ultraviolette (EUV) Strahlung ist; Durchführen eines ersten Einbrennvorgangs an der MePR-Schicht und der BARC-Schicht, wodurch eine metallische chemische Struktur der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren und eine Grenzflächenschicht zwischen der MePR-Schicht und der BARC-Schicht gebildet wird; Durchführen eines Belichtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und Entwicklung der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  2. Verfahren nach Anspruch 1, ferner umfassend: Durchführen eines ersten Ätzprozesses zur Übertragung einer Struktur der strukturierten Photoresistschicht auf eine untere Schicht auf dem Substrat.
  3. Verfahren nach Anspruch 1 oder 2, wobei das organische Polymer mindestens eines von Polystyrol (PS), Polyhydroxy-Styrol (PHS)-Harzpolymer, Poly(methylmethacrylat) (PMMA) und Poly(methylacrylat) (PMA) enthält.
  4. Verfahren nach Anspruch 3, wobei die reaktive chemische Gruppe mindestens eine von Monodentat, Bidentat, Tridentat, Hexadentat und einer Kombination hiervon enthält.
  5. Verfahren nach einem der vorstehenden Ansprüche, wobei die BARC-Schicht ferner Photosäuregeneratoren (PAGs) enthält, die an das organische Polymer der BARC-Schicht gebunden sind.
  6. Verfahren nach Anspruch 5, wobei die PAGs Triphenylsulfoniumtriflat enthalten.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Grenzflächenschicht chemisch an die MePR-Schicht und die BARC-Schicht gebunden wird.
  8. Verfahren nach Anspruch 7, wobei die Grenzflächenschicht ein Metall enthält, das aus der Gruppe aus Zinn (Sn), Kobalt (Co), Nickel (Ni), Eisen (Fe), Ruthenium (Ru) und Rhodium (Rh) ausgewählt ist.
  9. Verfahren nach Anspruch 7 oder 8, wobei die Grenzflächenschicht eine Dicke von 0,5 nm bis 2 nm aufweist.
  10. Verfahren nach einem der vorstehenden Ansprüche, ferner umfassend: Durchführen eines zweiten Einbrennvorgangs an der BARC-Schicht vor dem Auftragen der MePR-Schicht, wobei der zweite Einbrennvorgang eine Einbrenntemperatur aufweist, die höher als die des ersten Einbrennvorgangs ist.
  11. Verfahren nach einem der vorstehenden Ansprüche, wobei der erste Einbrennvorgang bewirkt, dass die BARC-Schicht und die MePR-Schicht eine Dehydratisierungsreaktion zwischen der chemischen Metallstruktur und der reaktiven chemischen Gruppe eingehen.
  12. Verfahren nach einem der vorstehenden Ansprüche, wobei der erste Einbrennvorgang bewirkt, dass die BARC-Schicht und die MePR-Schicht eine Kondensationsreaktion zwischen der chemischen Struktur des Metalls und der reaktiven chemischen Gruppe eingehen.
  13. Verfahren umfassend: Bilden einer unteren Schicht auf einem Halbleitersubstrat; Bilden einer unteren Antireflexbeschichtung-Schicht (BARC-Schicht) auf der unteren Schicht, wobei die BARC-Schicht ein organisches Polymer, einen fluorhaltigen Photosäuregenerator (PAG) und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren enthält, wobei die reaktive chemische Gruppe und der fluorhaltige PAG an das organische Polymer gebunden sind; Auftragen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich für eine extrem ultraviolette (EUV) Strahlung ist; Durchführen eines Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch ein Metall der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren, um eine Grenzflächenschicht zwischen der MePR-Schicht und der BARC-Schicht zu bilden, wobei die Grenzflächenschicht eine chemische Bindung zwischen dem Metall und der reaktiven chemischen Gruppe umfasst; Durchführen eines Belcihtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  14. Verfahren nach Anspruch 13, ferner umfassend: Durchführen eines ersten Ätzprozesses zur Übertragung einer Struktur der strukturierten Photoresistschicht auf eine Unterschicht auf dem Substrat.
  15. Verfahren nach Anspruch 13 oder 14, wobei das organische Polymer mindestens eines von Polystyrol (PS), Polyhydroxy-Styrol (PHS)-Harzpolymer, Poly(methylmethacrylat) (PMMA) und Poly(methylacrylat) (PMA) enthält; die reaktive chemische Gruppe mindestens eines von Monodentat, Bidentat, Tridentat, Hexadentat und einer Kombination hiervon enthält; und die PAGs Triphenylsulfoniumtriflat enthalten.
  16. Verfahren nach Anspruch 15, wobei die Grenzflächenschicht ein Metall enthält, das aus der Gruppe aus Zinn (Sn), Kobalt (Co), Nickel (Ni), Eisen (Fe), Ruthenium (Ru) und Rhodium (Rh) ausgewählt ist.
  17. Verfahren nach einem der vorstehenden Ansprüche 13 bis 16, wobei die Grenzflächenschicht eine Dicke von 0,5 nm bis 2 nm aufweist.
  18. Verfahren nach einem der vorhergehenden Ansprüche 13 bis 17, wobei der Einbrennprozess der MePR-Schicht und der BARC-Schicht ein erster Einbrennprozess ist, wobei das Verfahren ferner das Durchführen eines zweiten Einbrennprozesses der BARC-Schicht vor dem Auftragen der MePR-Schicht umfasst, wobei der zweite Einbrennprozess eine Einbrenntemperatur aufweist, die höher als die des ersten Einbrennprozesses ist.
  19. Verfahren umfassend: Ausbilden einer unteren Antireflexionsbeschichtung-Schicht (BARC-Schicht) auf dem Halbleitersubstrat, wobei die BARC-Schicht ein organisches Polymer, einen fluorhaltigen Photosäuregenerator (PAG) und eine reaktive chemische Gruppe mit mindestens einem von chelatbildenden Liganden und Kappenmonomeren enthält, wobei die reaktive chemische Gruppe und der fluorhaltige PAG an das organische Polymer gebunden sind; Aufbringen einer metallhaltigen Photoresistschicht (MePR) auf die BARC-Schicht, wobei die MePR empfindlich für eine extrem ultraviolette (EUV) Strahlung ist; Durchführen eines Einbrennprozesses an der MePR-Schicht und der BARC-Schicht, wodurch ein Metall der MePR-Schicht und die reaktive chemische Struktur der BARC-Schicht reagieren, um eine chemische Bindung zwischen dem Metall und der reaktiven chemischen Gruppe zu bilden; Durchführung eines Belichtungsprozesses unter Verwendung der EUV-Strahlung an der MePR-Schicht; und Entwickeln der MePR-Schicht zur Bildung einer strukturierten Photoresistschicht.
  20. Verfahren nach Anspruch 19, wobei das organische Polymer mindestens eines von Polystyrol (PS), Polyhydroxy-Styrol (PHS)-Harzpolymer, Poly(methylmethacrylat) (PMMA) und Poly(methylacrylat) (PMA) enthält; die reaktive chemische Gruppe mindestens eine der Gruppen Monodentat, Bidentat, Tridentat, Hexadentat und eine Kombination hiervon enthält; die PAGs Triphenylsulfoniumtriflat enthalten; und das Metall mindestens eines der Elemente Zinn (Sn), Kobalt (Co), Nickel (Ni), Eisen (Fe), Ruthenium (Ru) und Rhodium (Rh) enthält.
DE102020115407.0A 2019-08-02 2020-06-10 Strukturierungsverfahren einer halbleiterstruktur mit verbesserter haftung Pending DE102020115407A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962882157P 2019-08-02 2019-08-02
US62/882,157 2019-08-02
US16/892,899 US11651961B2 (en) 2019-08-02 2020-06-04 Patterning process of a semiconductor structure with enhanced adhesion
US16/892,899 2020-06-04

Publications (1)

Publication Number Publication Date
DE102020115407A1 true DE102020115407A1 (de) 2021-02-04

Family

ID=74174844

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020115407.0A Pending DE102020115407A1 (de) 2019-08-02 2020-06-10 Strukturierungsverfahren einer halbleiterstruktur mit verbesserter haftung

Country Status (5)

Country Link
US (1) US11651961B2 (de)
KR (1) KR102446574B1 (de)
CN (1) CN112309837B (de)
DE (1) DE102020115407A1 (de)
TW (1) TWI743908B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220011670A1 (en) * 2020-07-08 2022-01-13 International Business Machines Corporation Resist underlayer surface modification

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604796B2 (ja) * 1976-12-29 1985-02-06 大日本印刷株式会社 防染用転写シート
JPH07239549A (ja) * 1994-02-28 1995-09-12 Toray Ind Inc 反射防止膜およびそれを有する二層構造感放射線性レジストとその製造方法およびそれを用いたレジストパターン形成方法
US5998103A (en) * 1998-04-06 1999-12-07 Chartered Semiconductor Manufacturing, Ltd. Adhesion promotion method employing glycol ether acetate as adhesion promoter material
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
US7326442B2 (en) * 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
US7648918B2 (en) 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
JP5225139B2 (ja) * 2009-02-19 2013-07-03 三菱レイヨン株式会社 フォトリソグラフィ用高分子化合物の金属イオン不純物除去方法
JP2011175998A (ja) * 2010-02-23 2011-09-08 Renesas Electronics Corp 半導体集積回路装置の製造方法
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
JP5818252B2 (ja) * 2011-09-22 2015-11-18 国立大学法人東北大学 金属膜パターン付き基体の製造方法
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
KR101655394B1 (ko) * 2013-04-25 2016-09-07 제일모직 주식회사 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
JP6196897B2 (ja) 2013-12-05 2017-09-13 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
KR20180054509A (ko) 2015-04-22 2018-05-24 알렉스 필립 그레이엄 로빈손 감도 강화 포토레지스트
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
KR101829747B1 (ko) * 2015-07-31 2018-02-19 삼성에스디아이 주식회사 하드마스크 층의 제조 방법, 및 패턴형성방법
US10468249B2 (en) * 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
EP4273625A3 (de) 2015-10-13 2024-02-28 Inpria Corporation Organozinnoxidhydroxid-strukturierungszusammensetzungen, vorläufer und strukturierung
TWI662370B (zh) * 2015-11-30 2019-06-11 南韓商羅門哈斯電子材料韓國公司 與外塗佈光致抗蝕劑一起使用之塗料組合物
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10825684B2 (en) 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
JP7205472B2 (ja) * 2017-07-24 2023-01-17 Jsr株式会社 極端紫外線又は電子線リソグラフィー用金属含有膜形成組成物及びパターン形成方法
TWI788434B (zh) * 2017-10-27 2023-01-01 日商東京威力科創股份有限公司 光罩圖案形成方法、記憶媒體及基板處理裝置
JP6933605B2 (ja) 2018-05-21 2021-09-08 信越化学工業株式会社 パターン形成方法
US11437238B2 (en) * 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity

Also Published As

Publication number Publication date
CN112309837A (zh) 2021-02-02
KR102446574B1 (ko) 2022-09-22
US11651961B2 (en) 2023-05-16
KR20210016274A (ko) 2021-02-15
US20210035798A1 (en) 2021-02-04
TW202109193A (zh) 2021-03-01
TWI743908B (zh) 2021-10-21
CN112309837B (zh) 2024-04-19

Similar Documents

Publication Publication Date Title
DE102006045459B4 (de) Material zur Verhinderung von Wasser-Markierungsdefekten und Verfahren für die Immersions-Lithographie
DE102005004392B4 (de) Resistmuster-Verdickungsmaterial und Verfahren zum Ausbilden von Resistmustern, und Verfahren zum Herstellung einer Halbleitervorrichtung
DE112007000997B4 (de) Verfahren zum Verkleinern des minimalen Teilungsmaßes in einer Struktur
DE102015116964B4 (de) Verfahren zur Lithografie-Strukturierung
DE102007035766A1 (de) Resiststruktur-Verdickungsmarerial, Verfahren zum Ausbilden einer Resiststruktur, Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE10018852A1 (de) Silicium enthaltendes Polymer, Verfahren zu seiner Herstellung, dieses verwendende Resistzusammensetzung, Musterbildungsverfahren und Verfahren zur Herstellung einer elektronischen Anordnung
DE10046891A1 (de) Photoresistzusammensetzung, die einen Photobase-Bildner und einen Photosäure-Bildner enthält
DE10014083A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE112010003502B4 (de) Photostrukturierbares Silsequioxanpolymer und entsprechende Formulierungen, Verfahren unter Verwendung des Silsequioxanpolymers und Strukturen, die unter Verwendung des Silsesquioxanpolymers hergestellt werden
DE102019126271A1 (de) Fotolackzusammensetzung und Verfahren zum Bilden einer Fotolackstruktur
DE102019128448A1 (de) Schutzzusammensetzung und Verfahren zum Bilden einer Photoresiststruktur
DE10118902A1 (de) Fotolackzusammensetzungen aus zyklischen Olefinpolymeren mit Laktonanteil
DE10339717A1 (de) Mikrostrukturbildender Stoff und Verfahren zum Ausbilden einer feinen Struktur
DE102020131427B4 (de) Photoresistzusammensetzung und Herstellungsverfahren von Photoresiststruktur
DE112012004718B4 (de) Hybride Photoresistzusammensetzung sowie musterbildendes Verfahren unter Verwendung derselben
DE102020115407A1 (de) Strukturierungsverfahren einer halbleiterstruktur mit verbesserter haftung
DE10120673A1 (de) Verfahren zur Strukturierung einer Photolackschicht
DE102021125848A1 (de) Photosäure-erzeuger
DE3337315A1 (de) Zweifach-lichtempfindliche zusammensetzungen und verfahren zur erzeugung bildmustergemaesser photoresistschichten
DE102019126910A1 (de) Organometallische cluster-photoresiste für die euv-lithographie
DE102006002032A1 (de) Fotoempfindliche Beschichtung zum Verstärken eines Kontrasts einer fotolithographischen Belichtung
DE102019134535B4 (de) Materialien für unteren antireflexbelag
DE3685766T2 (de) Photolackbildherstellungsverfahren.
DE19857094B4 (de) Verfahren zum Verringern/zum lokalen Verringern eines Resistmusters in einer Hableitervorrichtung
DE102021100839A1 (de) Fotoresist-zusammensetzung und verfahren zum herstellen einer fotoresiststruktur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication