DE102015116964B4 - Verfahren zur Lithografie-Strukturierung - Google Patents

Verfahren zur Lithografie-Strukturierung Download PDF

Info

Publication number
DE102015116964B4
DE102015116964B4 DE102015116964.9A DE102015116964A DE102015116964B4 DE 102015116964 B4 DE102015116964 B4 DE 102015116964B4 DE 102015116964 A DE102015116964 A DE 102015116964A DE 102015116964 B4 DE102015116964 B4 DE 102015116964B4
Authority
DE
Germany
Prior art keywords
developer
layer
organic solvent
percent
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015116964.9A
Other languages
English (en)
Other versions
DE102015116964A1 (de
Inventor
Chen-Yu Liu
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015116964A1 publication Critical patent/DE102015116964A1/de
Application granted granted Critical
Publication of DE102015116964B4 publication Critical patent/DE102015116964B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

Verfahren (100) zur Lithografie-Strukturierung, umfassend:Bilden (104) einer Materialschicht (206) über einer Trägerschicht (202);Belichten (106) eines Teils der Materialschicht mit einer Strahlung (208); undEntfernen des belichteten Teils (206B) der Materialschicht in einem Positivton(PT)-Entwickler (210), was zu einer strukturierten Materialschicht führt, wobei der PT-Entwickler ein organisches Lösemittel und einen basischen gelösten Stoff umfasst und wobei das organische Lösemittel mehr als 50 Gewichtsprozent des PT-Entwicklers ausmacht, wobei der basische gelöste Stoff eine nicht ionische Base ist.

Description

  • HINTERGRUND
  • Die Industrie integrierter Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und Design haben Generationen von ICs erzeugt, wo jede Generation kleinere und komplexere Schaltungen als die vorherige Generation hat. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h., die Anzahl miteinander verbundener Vorrichtungen pro Chipfläche) allgemein erhöht, während die geometrische Größe (d.h., die kleinste Komponente (oder Leitung), die mit einem Herstellungsprozess geschaffen werden kann) abgenommen hat. Dieser Abwärtsskalierungsprozess bietet im Allgemeinen Vorteile durch eine Erhöhung der Produktionseffizienz und Senkung damit verbundener Kosten. Dieses Abwärtsskalieren hat auch die Komplexität einer IC-Verarbeitung und -Herstellung erhöht.
  • Lithografie ist zum Beispiel das traditionelle Verfahren zum Übertragen von IC-Strukturen auf einen Halbleiterwafer. In einem typischen Lithografieprozess wird ein Lackfilm auf eine Oberfläche eines Wafers aufgetragen und anschließend belichtet und entwickelt, um eine Lackstruktur zu bilden. Die Lackstruktur wird dann zum Ätzen des Wafers verwendet, um eine IC zu bilden. Die Qualität der Lackstruktur beeinflusst direkt die Qualität der fertigen IC. Mit fortschreitendem Abwärtsskalierungsprozess sind die Linenrandrauheit (Line Edge Roughness, LER) und Linienbreitenrauheit (Line Width Roughness, LWR) der Lackstruktur kritischer geworden. Zahlreiche Faktoren haben einen Einfluss auf die LER/LWR einer Lackstruktur, darunter der Entwickler, d.h., die chemische Lösung, die zum Entwickeln des belichteten Lackfilms verwendet wird. Gegenwärtig werden Entwickler auf Wasserbasis in Positivtonentwicklung(PTE)-Prozessen(Positive Tone Development) verwendet, während Entwickler mit einem organischen Lösemittel in Negativtonentwicklung(NTE)-Prozessen (Negative Tone Development) verwendet werden. Erstgenannte bewirken häufig ein Aufquellen des Lacks und ein Zusammenfallen der Lackstruktur und letztgenannte bieten keinen ausreichenden Lackkontrast. Daher ist eine neue Art von Lackentwickler erforderlich.
  • Aus der US 6 599 683 B1 ist ein Entwickler bekannt, der ein Alditol als organisches Lösungsmittel und ein Hydroxid als ionische basische Komponente umfasst. Aus der US 2015 / 0 227 051 A1 ist ein Entwickler für einen PTE-Prozess bekannt, der eine wässrige Lösung mit einer nicht ionischen Base enthält, und ein Entwickler für einen NTE-Prozess bekannt, der ein organisches Lösungsmittel umfasst. US 2012 / 0 308 938 A1 offenbart einen Negativton-Entwickler.
  • Figurenliste
  • Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind und nur der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale der deutlichen Besprechung wegen beliebig vergrößert oder verkleinert sein.
    • 1 zeigt ein Flussdiagramm eines Lithografiestrukturierungsverfahrens gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2A, 2B, 2C, 2D, 2E und 2F zeigen Querschnittsansichten zur Bildung einer Zielstruktur gemäß dem Verfahren von 1 gemäß einer Ausführungsform.
    • 3 und 4 zeigen Vorrichtungen gemäß einigen Ausführungsformen, die im Verfahren von 1 verwendet werden können.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele zur Implementierung verschiedener Merkmale der Offenbarung vor. Spezielle Beispiele von Komponenten und Anordnungen sind in der Folge zur Vereinfachung der vorliegenden Offenbarung beschrieben. Diese sind natürlich lediglich Beispiele und nicht als Einschränkung gedacht. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in welchen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in welchen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen gebildet sein können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt sein mögen. Zusätzlich kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und legt selbst kein Verhältnis zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen fest.
  • Ferner können raumbezogene Begriffe, wie „unterhalb“, „unter“, „niedriger“, „über“, „oberer“ und dergleichen hier zur einfachen Beschreibung verwendet werden, um ein Verhältnis eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmale(en) zu beschreiben, die in den Figuren dargestellt sind. Die raumbezogenen Begriffe sollen unterschiedliche Orientierungen der Vorrichtung in Verwendung oder Betrieb zusätzlich zu der in den Figuren dargestellten Orientierung beinhalten. Die Vorrichtung kann anders orientiert (90 Grad oder in anderen Orientierungen gedreht) sein und die raumbezogenen Deskriptoren, die hier verwendet werden, können ebenso dementsprechend interpretiert werden.
  • Die vorliegende Offenbarung betrifft im Allgemeinen Verfahren zur Herstellung von Halbleitervorrichtungen und insbesondere Zusammensetzungen zur Entwicklung belichteter Lackfilme in der Lithografie und Verfahren zu deren Verwendung. In der Lithografiestrukturierung wird ein Lackfilm, nachdem er einer Strahlung wie einem tiefen Ultraviolett- (Deep Ultraviolet, DUV) Strahl, einem extremen Ultraviolett (Extreme Ultraviolet, EUV) Strahl oder einem Elektronenstrahl (E-Strahl) ausgesetzt wurde, in einem Entwickler (einer chemischen Lösung) entwickelt. Der Entwickler entfernt Abschnitte des Lackfilms, wodurch eine Lackstruktur gebildet wird, die Linienstrukturen und/oder Grabenstrukturen enthalten kann. Die Lackstruktur wird dann in folgenden Ätzprozessen als Ätzmaske verwendet, wobei die Struktur auf die darunter liegenden Strukturierungsschichten übertragen wird.
  • Es gibt im Allgemeinen zwei Arten von Prozessen zur Entwicklung belichteter Lackfilme: einen PTE-Prozess und einen NTE-Prozess. Der PTE-Prozess verwendet einen Positivton(PT)-Entwickler. Der NTE-Prozess verwendet einen Negativton(NT)-Entwickler. Der Begriff „PT-Entwickler“, wie hier verwendet, bezeichnet einen Entwickler, der sich selektiv auflöst und die Flächen eines Lackfilms entfernt, die nicht unter einem ersten Schwellenwert belichtet sind (z.B. einem Belichtungsdosierungswert). Der Begriff „NT-Entwickler“, wie hier verwendet, bezeichnet einen Entwickler, der sich selektiv auflöst und die Flächen eines Lackfilms entfernt, die nicht belichtet oder unterbelichtet sind, d.h., die Flächen, die nicht über einem zweiten Schwellenwert belichtet sind. Der erste und zweite Schwellenwert können dieselben oder unterschiedlich sein, abhängig von den Parametern des Lackmaterials und des Entwicklers. In der folgenden Offenbarung enthält der Begriff „unbelichtete Fläche“ eines Lackfilms (oder einer Lackschicht) sowohl unbelichtete wie auch unterbelichtete Flächen des Lackfilms.
  • Derzeit haben allgemein verwendete PT- und NT-Entwickler jeweils ihre eigenen Nachteile in der fortgeschrittenen Lithografie. Zum Beispiel bewirken allgemein verwendete PT-Entwickler häufig ein Quellen des Lacks. Es wurde beobachtet, dass belichtete Flächen eines Lackfilms bis zu 100% oder darüber hinaus während des PTE-Prozesses aufquellen können. Das Aufquellen des Lacks erhöht die Linienrandrauheit (LER) und Linienbreitenrauheit (LWR) der entwickelten Lackstruktur. Ein weiteres Thema bei allgemein verwendeten PT-Entwicklern ist, dass die entwickelten Lackstrukturen manchmal aufgrund einer zu hohen Oberflächenspannung zusammenfallen, die durch die PT-Entwickler verursacht wird. Die Probleme eines Aufquellens des Lacks und die Probleme eines Zusammenfallens des Lacks werden üblicherweise bei allgemein verwendeten NT-Entwicklern nicht beobachtet. Die NT-Entwickler erzeugen jedoch keinen so guten Lackkontrast wie die PT-Entwickler, was zu einer hohen LER, hohen LWR und/oder geringen Strukturierungswiedergabetreue führt. Eine Aufgabe der vorliegenden Offenbarung ist die Bereitstellung eines neuen und verbesserten PT-Entwicklers, der einen Lackfilm mit geringer LER, geringer LWR und hohem Lackkontrast entwickeln kann. Der neue Entwickler erfüllt den Bedarf in heutigen fortgeschrittenen Lithografieprozessen, einschließlich EUV-Lithografie und E-Strahl-Lithografie.
  • 1 ist ein Flussdiagramm eines Verfahrens 100 zum Strukturieren einer Trägerschicht (z.B. eines Halbleiterwafers) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das Verfahren 100 kann zur Gänze oder teilweise durch ein System implementiert werden, das tiefe Ultraviolett- (DUV) Lithografie, extreme Ultraviolett- (EUV) Lithografie, Elektronenstrahl- (E-Strahl) Lithografie, Röntgenstrahl-Lithografie und andere Lithografieprozesse verwendet. In der vorliegenden Ausführungsform wird EUV-Lithografie als primäres Beispiel verwendet. Zusätzliche Vorgänge können vor, während und nach dem Verfahren 100 vorgesehen sein und einige beschriebene Vorgänge können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder verschoben werden. Das Verfahren 100 ist nur ein Beispiel und soll die vorliegende Offenbarung nicht über das hinaus einschränken, was ausdrücklich in den Ansprüchen angegeben ist. Das Verfahren 100 ist in der Folge in Verbindung mit 2A-2F beschrieben, wobei eine Halbleitervorrichtung 200 unter Verwendung der Ausführungsformen des Verfahrens 100 gefertigt wird. Ferner zeigen 3 und 4 Vorrichtungen, die in dem Verfahren 100 in einigen Ausführungsformen verwendet werden können.
  • In verschiedenen Ausführungsformen kann die Halbleitervorrichtung 200 eine Zwischenvorrichtung sein, die während einer Bearbeitung einer IC gefertigt wird, oder ein Teil davon, der einen statischen Direktzugriffsspeicher (Static Random Access Memory, SRAM) und/oder logische Schaltungen, passive Komponenten, wie Widerstände, Kondensatoren und Induktoren, und aktive Komponenten, wie p-leitende Feldeffekttransistoren (PFETS), n-leitende FETS (NFETS), gratartige FETs (FinFETs), andere Mehrfach-Gate FETs, Metalloxid-Halbleiter-FETs (MOSFET), komplementäre Metalloxid-Halbleiter- (CMOS) Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere aktive Vorrichtung oder Kombinationen davon umfassen kann.
  • In Vorgang 102 ist das Verfahren 100 (1) mit einer Trägerschicht 202 bereitgestellt. Unter Bezugnahme auf 2A enthält die Trägerschicht 202 eine oder mehrere Material- oder Zusammensetzungsschicht(en). In einer Ausführungsform ist die Trägerschicht 202 eine Halbleiterträgerschicht (z.B. ein Wafer). In einer anderen Ausführungsform enthält die Trägerschicht 202 Silizium in einer kristallinen Struktur. In alternativen Ausführungsformen enthält die Trägerschicht 202 andere elementare Halbleiter, wie Germanium; einen Verbindungshalbleiter wie Siliziumcarbid, Galliumarsenid, Indiumarsenid und Indiumphosphid; oder einen Legierungshalbleiter wie Siliziumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid. In Ausführungsformen kann die Trägerschicht 202 eine Silizium-auf-Isolator (Silicon on Insulator, SOI) Trägerschicht enthalten, kann zur Leistungserhöhung gespannt/belastet sein, epitaktische Regionen enthalten, Isolierungsregionen enthalten, dotierte Regionen enthalten, eine oder mehrere Halbleitervorrichtung(en) oder Teile davon enthalten, leitende und/oder nicht leitende Schichten enthalten und/oder andere geeignete Merkmale und Schichten enthalten.
  • In anderen Ausführungsformen ist die Trägerschicht 202 eine Maskenträgerschicht, die ein Material mit geringer Wärmeausdehnung enthalten kann, wie Quarz, Silizium, Siliziumcarbid oder Siliziumoxid-Titanoxid-Verbindung. In Weiterentwicklung dieses Beispiels kann die Trägerschicht 202 eine Maskenträgerschicht zur Herstellung einer tiefen Ultraviolett- (DUV) Maske einer extremen Ultraviolett- (EUV) Maske oder anderer Arten von Masken sein.
  • In der vorliegenden Ausführungsform, wie in 2A dargestellt, enthält die Trägerschicht 202 eine Strukturierungsschicht 204. In einer Ausführungsform ist die Strukturierungsschicht 204 eine Hartmaskenschicht, die ein Material wie amorphes Silizium (a-Si), Siliziumoxid (SiO2), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Siliziumcarbonnitrid (SiCn), Siliziumcarbid (SiC), Titannitrid (TiN), andere geeignete Materialien oder eine Kombination davon enthält. In verschiedenen Ausführungsformen kann die Strukturierungsschicht 204 eine dielektrische Schicht hoher Dielektrizitätszahl, eine Gate-Schicht, eine Hartmaskenschicht, eine Grenzflächenschicht, eine Deckschicht, eine Diffusions-/Barrierenschicht, eine dielektrische Schicht, eine leitende Schicht, andere geeignete Schichten und/oder Kombinationen davon enthalten.
  • In Vorgang 104 bildet das Verfahren 100 (1) eine Materialschicht 206 über der Trägerschicht 202 (2B). Unter Bezugnahme auf 2B wird die Materialschicht 206 in einer Ausführungsform durch Rotationsbeschichten eines flüssigen Polymermaterials auf die Trägerschicht 202 gebildet. In einer Ausführungsform wird die Materialschicht 206 ferner mit einem Weichbackprozess und einem Hartbackprozess behandelt. In einer Ausführungsform ist die Materialschicht 206 eine strahlungsempfindliche Schicht, wie ein Fotolack, einschließlich eines I-Line-Fotolacks, eines DUV-Fotolacks, der einen Kryptonfluorid- (KrF) Fotolack und Argonfluorid- (ArF) Fotolack enthält, eines EUV-Fotolacks, eines Elektronenstrahl- (E-Strahl) Fotolacks und eines Ionenstrahlfotolacks. In der vorliegenden Ausführungsform ist die Materialschicht 206 ein Fotolack, der für eine EUV-Strahlung empfindlich ist und zur PT-Entwicklung dient, d.h., seine Löslichkeit in einem PT-Entwickler steigt bei EUV-Bestrahlung. Der Einfachheit wegen wird die Materialschicht 206 in der folgenden Beschreibung einfach als Lackfilm (oder Lack) 206 bezeichnet. In einer Ausführungsform enthält der Lackfilm 206 Fotosäuregeneratoren (Photo-Acid Generators, PAGs), die bei Bestrahlung eine Säure erzeugen. Die Säure kann die Spaltung von säurelabilen Gruppen (Acid Labile Groups, ALGs) vom Rückgratpolymer des Lackfilms katalysieren. Wenn die ALGs das Rückgratpolymer verlassen, ändert sich die Abzweigungseinheit des Polymers zu einer Carboxylgruppe. Dies erhöht die Löslichkeit des Polymers für einen PT-Entwickler und ermöglicht, dass die belichteten Flächen des Lackfilms durch den Entwickler entfernt werden, während die unbelichteten Flächen unlöslich bleiben und zu einem Maskierungselement für anschließende Prozesse werden.
  • In einer Ausführungsform, vor dem Bilden der Materialschicht 206, bildet das Verfahren 100 eine Antireflexionsschicht (Anti-Reflective Coating, ARC) über der Strukturierungsschicht 204 und bildet dann die Materialschicht 206 über der ARC-Schicht. Zum Beispiel kann die ARC-Schicht eine stickstofffreie Antireflexionsschicht (Nitrogen-Free ARC, NFARC) sein, die ein Material wie Siliziumoxid ((SiO2), Siliziumsauerstoffcarbid (SOC), plasmaverstärktes, durch chemische Dampfphasenabscheidung abgeschiedenes Siliziumoxid (Plasma Enhanced Chemical Vapo Deposited Silicon Oxide, PECVD-SiO2), ein anderes geeignetes Material oder eine Kombination davon sein. In einer weiteren Ausführungsform bildet das Verfahren 100 mehr als eine Schicht zwischen der Strukturierungsschicht 204 und der Materialschicht 206.
  • In Vorgang 106 belichtet das Verfahren 100 (1) den Lackfilm 206 mit einem Strahlenbündel 208 in einem Lithografiesystem 300 (2C). Unter Bezugnahme auf 2C kann das Strahlenbündel 208 eine I-Line (265 Nanometer (nm)), eine DUV-Strahlung wie ein KrF-Excimerlaser (248 nm) oder ArF Excimerlaser (193 nm), eine EUV-Strahlung (z.B. 13,8 nm), ein E-Strahl, ein Röntgenstrahl, ein Ionenstrahl oder andere geeignete Strahlungen sein. Der Vorgang 106 kann in Luft, in einer Flüssigkeit (Immersionslithografie) oder in einem Vakuum (z.B. für EUV-Lithografie und E-Strahl-Lithografie) ausgeführt werden. In einer Ausführungsform wird das Strahlenbündel mit einer Maske mit IC-Strukturen strukturiert, wie mit einer durchlässigen Maske oder einer reflektierenden Maske, die Auflösungsverstärkungstechniken wie Phasenverschiebung und/oder optische Nahbereichskorrektur (Optical Proximity Correction, OPC) enthalten kann. In einer anderen Ausführungsform wird das Strahlenbündel 208 direkt mit IC-Strukturen ohne Verwendung einer Maske moduliert (maskenlose Lithografie). In der vorliegenden Ausführungsform ist das Strahlenbündel 208 eine EUV-Strahlung und das Lithografiesystem 300 ist ein EUV-Lithografiesystem. Eine Ausführungsform des EUV-Lithografiesystems 300 ist in 3 dargestellt.
  • Unter Bezugnahme auf 3 enthält das EUV-Lithografiesystem 300 eine Strahlungsquelle 300, die das Strahlenbündel 208 erzeugt, eine Kondensatoroptik 306, einen Maskenträger 310, auf dem eine Maske 308 befestigt ist, eine Projektionsoptik 312 und einen Trägerschichtträger 314, auf dem die Vorrichtung 200 befestigt ist, die die Trägerschicht 202 und den Lackfilm 206 enthält. Andere Konfigurationen sowie ein Hinzufügen oder Weglassen von Elementen können möglich sein. In der vorliegenden Offenbarung kann das EUV-Lithografiesystem ein Stepper oder ein Scanner sein.
  • Die Strahlungsquelle 302 stellt das Strahlenbündel 208 mit einer Wellenlänge im EUV-Bereich bereit, wie etwa 1-100 nm. In einer Ausführungsform hat das Strahlenbündel 208 eine Wellenlänge von etwa 13,5 nm. Die Kondensatoroptik 306 enthält einen mehrschichtigen beschichteten Kollektor und mehrere Streifspiegel. Die Kondensatoroptik 306 ist zum Sammeln und Formen des Strahlenbündels 208 und zum Bereitstellen eines Spalts des Strahlenbündels 208 zur Maske 308 konfiguriert. Die Maske 308, auch als Fotomaske oder Retikel bezeichnet, enthält Strukturen einer oder mehrerer IC-Zielvorrichtung(en). Die Maske 308 verleiht dem Strahlenbündel 208 ein strukturiertes Luftbild. Die Maske 308 ist in der vorliegenden Ausführungsform eine reflektierende Maske und kann Auflösungsverstärkungstechniken enthalten, wie Phasenverschiebung und/oder optische Nahbereichskorrektur (OPC). Der Maskenträger 310 sichert die Maske 308, wie durch Vakuum, und verleiht der Maske 308 während der Ausrichtungs-, Fokussierung-, Nivellierungs- und Belichtungsvorgängen im EUV-Lithografiesystem 300 eine exakte Position und Bewegung.
  • Die Projektionsoptik 312 enthält eine oder mehrere Linse(n) und mehrere Spiegel. Die Linse kann eine Vergrößerung von weniger als Eins haben, wodurch das strukturierte Luftbild der Maske 308 zur Vorrichtung 200, insbesondere zum Lackfilm 206 verkleinert wird. Die Vorrichtung 200 wird durch den Trägerschichtträger 314 gesichert, der der Vorrichtung 200 während der Ausrichtungs-, Fokussierung-, Nivellierungs- und Belichtungsvorgängen im EUV-Lithografiesystem 300 eine exakte Position und Bewegung verleiht, so dass der Lackfilm 206 in wiederholter Weise mit dem strukturierten Luftbild der Maske 308 belichtet wird (obwohl andere Lithografieverfahren möglich sind). Die belichteten Teile des Lackfilms 206 werden in einem PT-Entwickler löslich.
  • In der Ausführungsform, die in 2C dargestellt ist, werden Teile 206B des Lackfilms 206 durch die Strahlung mit ausreichender Dosierung belichtet, so dass sie durch einen PT-Entwickler entfernt werden können, der in der Folge besprochen wird, während Teile 206A des Lackfilms 206 die unbelichteten Flächen sind. In einer Ausführungsform, wo der Lackfilm 206 PAGs enthält, kann die Halbleitervorrichtung 200 einem oder mehreren Backprozessen nach der Belichtung (Post-Exposure Baking, PEB) unterzogen werden. Die PEB-Prozesse beschleunigen üblicherweise die Säureproduktion durch die PAGs, was wiederum den Lackstrukturbildungsprozess beschleunigt.
  • In Vorgang 108 entwickelt das Verfahren (1) den belichteten Lackfilm 206 in einem Entwickler 210, der gemäß verschiedenen Aspekten der vorliegenden Offenbarung konstruiert ist. Unter Bezugnahme auf 2D wird der Entwickler 210 auf den Lackfilm 206 aufgetragen, der sowohl die belichteten Teile 206B wie auch die unbelichteten Teile 206A enthält. In der vorliegenden Ausführungsform ist der Entwickler 210 ein PT-Entwickler, der sich auflöst und die belichteten Teile 206B entfernt, was zu einer Lackstruktur 206A führt (2E). In dem Beispiel, das in 2E dargestellt ist, ist die Lackstruktur 206A durch eine Linienstruktur dargestellt. Die folgende Besprechung dient jedoch gleichermaßen für Lackstrukturen, die durch Gräben dargestellt sind.
  • Wie oben besprochen, haben allgemein verwendete PT- und NT-Entwickler jeweils ihre eigenen Nachteile in der heutigen fortgeschrittenen Lithografie: erstgenannte bewirken allgemein ein Aufquellen des Lacks und ein Zusammenfallen der Lackstruktur und letztgenannte erzeugen keinen ausreichenden Lackkontrast. Gleichzeitig erzeugen allgemein verwendete PT-Entwickler einen hohen Lackkontrast und allgemein verwendete NT-Entwickler verursachen kein Aufquellen des Lacks. Die Erfinder der vorliegenden Anmeldung haben eine Möglichkeit entdeckt, die Vorzüge sowohl der PT- wie auch NT-Entwickler zu kombinieren, während ihre jeweiligen Mängel vermieden werden.
  • Allgemein verwendete PT-Entwickler verwenden eine basische (oder alkalische) wässrige Lösung, d.h., eine Lösung mit Wasser als Lösemittel und einer Base als gelösten Stoff. Die Base kann organisch oder anorganisch sein. Die Erfinder der vorliegenden Anmeldung glauben, dass das Lösemittel, Wasser, die Ursache für ein Aufquellen des Lackfilms sein kann. Wasser hat das geringste Molekulargewicht (Mw = 18) und kleine Wassermoleküle können leicht einen Lackfilm durchdringen und zum Ausdehnen bringen. Daher kann eine Verringerung des Wassergehalts in einem PT-Entwickler zu einem geringeren Aufquellen des Lacks führen.
  • Allgemein verwendete NT-Entwickler verwenden anstelle von Wasser ein organisches Material als Lösemittel. Das organische Lösemittel hat (im Vergleich zu Wasser) ein relativ hohes Molekulargewicht. Die Erfinder der vorliegenden Anmeldung glauben, dass das hohe Molekulargewicht des organischen Lösemittels der Grund ist, warum NT-Entwickler üblicherweise kein Aufquellen des Lackfilms verursachen. Allgemein verwendeten NT-Entwicklern fehlt es jedoch an einem gelösten Stoff, insbesondere einem basischen gelösten Stoff, was der Grund sein mag, warum sie keinen hohen Lackkontrast erzeugen.
  • Der Entwickler 210, der gemäß der vorliegenden Offenbarung konstruiert ist, kombiniert die Vorzüge der allgemein verwendeten PT-Entwickler mit jenen der allgemein verwendeten NT-Entwickler. In einer Ausführungsform enthält der Entwickler 210 zumindest ein organisches Lösemittel und zumindest einen basischen gelösten Stoff. In einer weiteren Ausführungsform macht das zumindest eine organische Lösemittel mehr als 50 Gewichtsprozent des Entwicklers aus. Weitere Ausführungsformen des Entwicklers 210 sind in der Folge angeführt. In verschiedenen Experimenten erzeugen Ausführungsformen des Entwicklers 210 Lackstrukturen mit geringerer LWR und LER als allgemein verwendete PT-Entwickler und stellen einen viel höheren Lackkontrast als allgemein verwendete NTP-Entwickler bereit.
  • In Ausführungsformen enthält der Entwickler 210 den basischen gelösten Stoff (die basischen gelösten Stoffe) in einem Bereich von mehr als 0 Gewichtsprozent aber weniger als 30 Gewichtsprozent. Zum Beispiel kann der Entwickler 210 den basischen gelösten Stoff (die basischen gelösten Stoffe) in einem Bereich von etwa 0 Gewichtsprozent bis etwa 20 Gewichtsprozent enthalten, wie von etwa 2 Gewichtsprozent bis etwa 10 Gewichtsprozent.
  • In Ausführungsformen kann der Entwickler 210 ferner Wasser enthalten, das weniger als 50 Gewichtsprozent des Entwicklers ausmacht. In einem Beispiel enthält der Entwickler 210 etwa 70 Gewichtsprozent des organischen Lösemittels (der organischen Lösemittel); etwa 10 Gewichtsprozent des basischen gelösten Stoffs (der basischen gelösten Stoffe), etwa 20 Gewichtsprozent Wasser; und andere Zusatzstoffe, wie eine oder mehrere oberflächenaktive Substanz(en).
  • In einer Ausführungsform enthält das organische Lösemittel des Entwicklers 210 zumindest eines von: einer funktionellen Hydroxyl- (OH) Gruppe, einer funktionellen NH-Gruppe, einer funktionellen NH2-Gruppe, einer funktionellen Sulfhydryl- (SH) Gruppe, einer funktionellen Methoxy- (OMe) Gruppe und einer funktionellen Ethoxy- (OEt) Gruppe. In einer Ausführungsform hat das organische Lösemittel des Entwicklers 210 ein Molekulargewicht von weniger als 300, da ein zu hohes Molekulargewicht die Wirksamkeit des Entwicklers 210 beim Auflösen eines belichteten Lackfilms verringern kann. Das Molekulargewicht des organischen Lösemittels ist jedoch nicht so gering, dass ein Lackfilm zum Aufquellen gebracht wird, wie dies bei den allgemein verwendeten PT-Entwicklern der Fall ist. In Weiterentwicklung dieser Ausführungsform hat das organische Lösemittel ein höheres Molekulargewicht als das Molekulargewicht von Wasser. Zum Beispiel kann das organische Lösemittel ein Molekulargewicht höher als 50 haben. In einer Ausführungsform ist das organische Lösemittel im Entwickler 210 eines von: Ethylenglykol, Diethylenglykol und Propylenglykol.
  • In einer Ausführungsform ist der basische gelöste Stoff des Entwicklers 210 eine ionische Base, eine nicht ionische Base oder eine Kombination davon. Zum Beispiel kann der basische gelöste Stoff eine ionische Base sein, die OH- Ionen enthält. In verschiedenen Ausführungsformen ist der basische gelöste Stoff eine ionische Base mit einem Molekulargewicht kleiner als 400, da ein zu großes Molekulargewicht die Wirksamkeit des Entwicklers 210 beim Auflösen eines belichteten Lackfilms verringern kann.
  • In einer alternativen Ausführungsform kann der basische gelöste Stoff eine nicht ionische Base sein, wie eine Base, die ein Amin enthält. Zum Beispiel kann der basische gelöste Stoff ein primäres Amin, ein sekundäres Amin oder ein tertiäres Amin enthalten. In Weiterentwicklung dieser Ausführungsform kann die ionische Base aus denselben Gründen wie oben ein Molekulargewicht kleiner als 300 haben. In einer Ausführungsform ist der basische gelöste Stoff ein Ethylendiamin. In einer weiteren Ausführungsform ist der basische gelöste Stoff des Entwicklers 210 frei von Metall, um einen Metallrückstand in dem entwickelten Lack zu vermeiden. Zum Beispiel kann der basische gelöste Stoff Tetramethylammoniumhydroxid (TMAH), Tetrabutylammoniumhydroxid (TBAH), Ethylendiamin (EDA), Triethylenamin, Pyridin, Guanidinium, Piperidin oder eine andere organische Base sein.
  • In verschiedenen Ausführungsformen hat der Entwickler 210 eine Oberflächenspannung von weniger als 50 Millinewton pro Meter (mN/m). Die geringe Oberflächenspannung verringert die Wahrscheinlichkeit, ein Zusammenfallen der Lackstrukturen zu verursachen. Ferner kann der Entwickler 210 in Ausführungsformen einige Zusatzstoffe enthalten, wie eine oder mehrere oberflächenaktive Substanz(en). Zum Beispiel kann die oberflächenaktive Substanz anionisch, nicht ionisch oder hydrotropisch sein. Die oberflächenaktive Substanz kann dazu beitragen, die Oberflächenspannung des Entwicklers 210 zu verringern.
  • Unter weiterer Bezugnahme auf 2D wird der Entwickler 21 auf den Lackfilm 206 aufgetragen. Die belichteten Teile 206B des Lackfilms 206 werden durch den Entwickler 210 aufgelöst, wodurch die unbelichteten Teile 206A (die unterbelichtete Teile enthalten) über der Trägerschicht 202 zurückbleiben. Der einfachen Besprechung wegen werden die zurückgelassenen Teile 206A auch als Lackstruktur 206A bezeichnet. Aufgrund der oben besprochenen Eigenschaften des Entwicklers 210 kann das Lackmuster 206A sehr glatte Ränder und Seitenwände haben (d.h., niedere LER und LWR) und ist gut definiert (d.h., hohen Entwicklungskontrast).
  • In einer Ausführungsform wird der Entwickler 210 in einem Entwicklungswerkzeug 400, von dem ein Beispiel teilweise in 4 dargestellt ist, auf die Vorrichtung 200 aufgetragen. Unter Bezugnahme auf 4 ist das Entwicklungswerkzeug 400 Teil eines Cluster-Werkzeuges in einem Halbleiterfertigungsprozess. Sobald der Lackfilm 206 im EUV-Lithografiesystem 300 belichtet ist (2C und 3), wird die Vorrichtung 200 zum Entwicklungswerkzeug 400 überführt, das den Entwickler 210 auf den Lackfilm 206 aufbringt. In der in 4 dargestellten Ausführungsform trägt das Entwicklungswerkzeug den Entwickler 210 in einem Rotationsentwicklungsprozess auf, d.h., es sprüht den Entwickler 210 auf den Lackfilm 206, während die Vorrichtung 200 um eine vertikale Achse dreht.
  • Wie in 4 dargestellt, enthält das Entwicklungswerkzeug 400 einen Trägerschichtträger 402, der zum Sichern der Vorrichtung 200 gestaltet ist, die den Lackfilm 206 enthält. Der Trägerschichtträger 402 kann in Drehung versetzt werden, so dass die darauf gesicherte Vorrichtung 200 dementsprechend während des Rotationsentwicklungsprozesses dreht. Der Trägerschichtträger 402 enthält einen Mechanismus, wie einen Vakuumsaug-, E-Spann- oder anderen geeigneten Mechanismus, zur Sicherung der Vorrichtung 200. Das Entwicklungswerkzeug 400 enthält ferner einen Bewegungsmechanismus 404, der mit dem Trägerschichtträger 402 integriert ist und den Trägerschichtträger 402 und die darauf gesicherte Vorrichtung 200 in verschiedenen Bewegungsarten antreiben kann. In einigen Ausführungsformen enthält der Bewegungsmechanismus 404 einen Motor zum Antreiben des Trägerschichtträgers 402 und der Vorrichtung 200, um bei einer gewissen Drehgeschwindigkeit während verschiedener Vorgänge (wie Entwicklung und Spülen) zu drehen.
  • Der Entwickler 210 wird durch eine Düse 406 über der Vorrichtung 200 abgegeben, während diese dreht. Der Entwickler 210 wird in einem Behälter 408 gelagert und durch eine Abgabevorrichtung, die eine Rohrleitung enthält, an die Düse 406 abgegeben. Der Entwickler 210 kann unter Verwendung einer Pumpe, eines Druckgases oder anderer Mechanismen abgegeben werden.
  • In verschiedenen Ausführungsformen kann der Entwickler 210 kontinuierlich auf die Vorrichtung 200 gesprüht werden. Alternativ kann er durch andere Mittel aufgetragen werden, wie einen Puddle-Entwicklungsprozess. Das Verfahren 100 kann weitere Vorgänge zum Fertigstellen der Lackstruktur 206A nach dem Vorgang 108 enthalten. Zum Beispiel kann die Vorrichtung 200 einem Spülvorgang unter Verwendung von entionisiertem (DI)) Wasser zur Entfernung von Rückständen und Partikeln und/oder einem Backprozess nach dem Entwickeln (PDB) zur Härtung der Lackstruktur 206A zur Erhöhung ihrer Strukturstabilität unterzogen werden.
  • In Vorgang 110 überträgt das Verfahren 100 (1) die IC-Struktur(en) von der Lackstruktur 206A auf die Trägerschicht 202 (2F). In Ausführungsformen enthält der Vorgang 110 ein Ätzen der Trägerschicht 202 unter Verwendung der Lackstruktur 206A als Ätzmaske. In einer Ausführungsform ist die Strukturierungsschicht 204 eine Hartmaskenschicht. In Weiterentwicklung dieser Ausführungsform werden die IC-Strukturen zuerst von der Lackstruktur 206A auf die Hartmaskenschicht 204, dann auf andere Schichten der Trägerschicht 202 übertragen. Zum Beispiel kann die Hartmaskenschicht 204 durch Öffnungen der Lackstruktur 206A unter Verwendung von Trocken(plasma)ätzen, einem Nassätzen und/oder anderer Ätzmethoden geätzt werden. Zum Beispiel kann ein Trockenätzprozess ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6) , ein chlorhaltiges Gas (z.B. (Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z-.B. HBr und/oder CHBr3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon enthalten. Zum Beispiel kann ein Nassätzprozess ein Ätzen in verdünnter Fluorwasserstoffsäure (DHF); Kaliumhydroxidlösung (KOH); Ammoniak; Tetramethylammoniumhydroxid (TMAH) ; einer Lösung, die Fluorwasserstoffsäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält; oder anderen geeigneten Nassätzmittel umfassen. Der Lackstruktur 206A kann teilweise oder vollständig während des Ätzens der Hartmaskenschicht 204 verbraucht werden. In einer Ausführungsform kann jeder verbleibende Teil der Lackstruktur 206A abgestreift werden, so dass eine strukturierte Hartmaskenschicht 204A über der Trägerschicht 202 verbleibt, wie in 2F dargestellt.
  • Obwohl in 1 nicht dargestellt, kann das Verfahren 100 mit der Bildung einer fertigen Struktur oder einer IC-Vorrichtung auf der Trägerschicht 202 fortfahren. In einer Ausführungsform ist die Trägerschicht 202 eine Halbleiterträgerschicht und das Verfahren 100 fährt mit der Bildung von Grat-Feldeffekttransistor- (FinFET) Strukturen fort. Zum Beispiel kann der Vorgang 110 mehrere aktive Grate in der Halbleiterträgerschicht 202 bilden. Die aktiven Grate haben eine gleichförmige kritische Dimension (CD) aufgrund der geringen LER und LWR der Lackstruktur 206A. In einer anderen Ausführungsform fährt das Verfahren mit der Bildung mehrerer Gate-Elektroden in der Halbleiterträgerschicht 202 fort. Die Gate-Elektroden haben aufgrund der glatten Seitenwände der Lackstruktur 206A eine gleichförmige Gate-Länge. In einer anderen Ausführungsform ist eine Zielstruktur als Metallleitungen in einer mehrschichtigen Zwischenverbindungsstruktur zu bilden. Zum Beispiel können die Metallleitungen in einer dielektrischen Zwischenschicht (InterLayer Dielectric, ILD) der Trägerschicht 202 gebildet werden, die durch den Vorgang 110 so geätzt wurde, dass sie mehrere Gräben enthält. Das Verfahren 100 fährt dann mit dem Füllen der Gräben mit einem leitenden Material, wie einem Metall; und einem Polieren des leitenden Materials unter Verwendung eines Prozesses wie eines chemisch-mechanischen Planarisierens (CMP) fort, um die strukturierte ILD-Schicht freizulegen, wodurch die Metallleitungen in der ILD-Schicht gebildet werden. Die obenstehenden Beispiele sind keine Einschränkung von Vorrichtungen/Strukturen, die unter Verwendung des Verfahrens 100 und des Entwicklers 210 gemäß verschiedenen Aspekten der vorliegenden Offenbarung gebildet und/oder verbessert werden können.
  • Wenn auch nicht als Einschränkung gedacht, bieten eine oder mehrere Ausführungsform(en) der vorliegenden Offenbarung Vorteile bei einer Halbleitervorrichtung und deren Bildung. Zum Beispiel bietet ein Lackentwickler, der gemäß der vorliegenden Offenbarung konstruiert ist, eine bessere Leistung in PTE-Prozessen für fortgeschrittene Lithografie, wie DUV-Lithografie, EUV-Lithografie und E-Strahl-Lithografie. Der Lackentwickler führt zu einer verringerten Lackstrukturoberflächenrauheit, wie LER und LWR, und bietet eine hohe Strukturierungswiedergabetreue. Der bereitgestellte Lackentwickler ist in der Nanometer-Halbleiterfertigung von Vorteil, wo eine CD-Gleichförmigkeit zu einem kritischen Faktor in der Schaltungsleistung geworden.
  • In einem beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Verfahren zur Lithografie-Strukturierung. Das Verfahren enthält ein Bilden einer Materialschicht über einer Trägerschicht und ein Belichten eines Teils der Materialschicht mit Strahlung. Das Verfahren enthält ferner ein Entfernen des belichteten Teils der Materialschicht in einem Entwickler, was zu einer strukturierten Materialschicht führt, wobei der Entwickler ein organisches Lösemittel und einen basischen gelösten Stoff umfasst und wobei das organische Lösemittel mehr als 50 Gewichtsprozent des Entwicklers ausmacht.
  • In einem anderen beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Verfahren zur Lithografie-Strukturierung. Das Verfahren enthält ein Bilden einer Lackschicht über einer Trägerschicht, ein Belichten eines Teils der Lackschicht mit Strahlung, ein Entfernen des belichteten Teils der Lackschicht in einem Entwickler, wodurch eine strukturierte Lackschicht erhalten wird. Der Entwickler umfasst ein organisches Lösemittel, mehr als 50 Gewichtsprozent des Entwicklers; Wasser, weniger als 50 Gewichtsprozent des Entwicklers; und einen basischen gelösten Stoff.
  • In einem anderen beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Verfahren zur Lithografie-Strukturierung. Das Verfahren enthält ein Bilden einer Lackschicht über einer Trägerschicht, ein Belichten eines Teils der Lackschicht mit Strahlung und ein Entfernen des belichteten Teils der Lackschicht in einem Entwickler. Der Entwickler umfasst ein organisches Lösemittel, mehr als 50 Gewichtsprozent des Entwicklers; Wasser, weniger als 50 Gewichtsprozent des Entwicklers; und einen basischen gelösten Stoff, weniger als 30 Gewichtsprozent des Entwicklers.
  • Zuvor wurden Merkmale von mehreren Ausführungsformen angeführt, so dass Fachleute auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute auf dem Gebiet sollten zu schätzen wissen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Modifizierung anderer Prozesse und Strukturen zur Ausführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwenden können. Durchschnittsfachleute auf dem Gebiet sollten auch erkennen, dass solche äquivalente Konstruktionen nicht vom Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (17)

  1. Verfahren (100) zur Lithografie-Strukturierung, umfassend: Bilden (104) einer Materialschicht (206) über einer Trägerschicht (202); Belichten (106) eines Teils der Materialschicht mit einer Strahlung (208); und Entfernen des belichteten Teils (206B) der Materialschicht in einem Positivton(PT)-Entwickler (210), was zu einer strukturierten Materialschicht führt, wobei der PT-Entwickler ein organisches Lösemittel und einen basischen gelösten Stoff umfasst und wobei das organische Lösemittel mehr als 50 Gewichtsprozent des PT-Entwicklers ausmacht, wobei der basische gelöste Stoff eine nicht ionische Base ist.
  2. Verfahren (100) nach Anspruch 1, wobei der PT-Entwickler (210) des Weiteren Wasser umfasst, das weniger als 50 Gewichtsprozent des PT-Entwicklers ausmacht.
  3. Verfahren (100) nach Anspruch 1 oder 2, wobei der basische gelöste Stoff weniger als 30 Gewichtsprozent des PT-Entwicklers ausmacht.
  4. Verfahren (100) nach einem der vorangehenden Ansprüche, wobei das organische Lösemittel eines der folgenden enthält: eine funktionelle OH-Gruppe, eine funktionelle NH-Gruppe, eine funktionelle NH2-Gruppe, eine funktionelle SH-Gruppe, eine funktionelle Methoxy-Gruppe und eine funktionelle Ethoxy-Gruppe.
  5. Verfahren (100) nach einem der vorangehenden Ansprüche, wobei das organische Lösemittel ein Molekulargewicht (Mw) von weniger als 300 hat.
  6. Verfahren (100) nach einem der vorangehenden Ansprüche, wobei das organische Lösemittel eines der folgenden ist: Ethylenglykol, Diethylenglykol und Propylenglykol.
  7. Verfahren (100) nach einem der Ansprüche 1 bis 6, wobei der basische gelöste Stoff eine nicht ionische Base ist, die ein Amin enthält.
  8. Verfahren (100) nach Anspruch 7, wobei das Amin ein primäres Amin, ein sekundäres Amin oder ein tertiäres Amin ist.
  9. Verfahren (100) nach einem der Ansprüche 1 bis 6, wobei der basische gelöste Stoff eine nicht ionische Base mit einem Molekulargewicht (Mw) von weniger als 300 ist.
  10. Verfahren (100) nach einem der Ansprüche 1 bis 6, wobei der basische gelöste Stoff frei von Metall ist.
  11. Verfahren (100) zur Lithografie-Strukturierung, umfassend: Bilden (104) einer Lackschicht (206) über einer Trägerschicht (202); Belichten (106) eines Teils der Lackschicht mit Strahlung (208); und Entfernen des belichteten Teils der Lackschicht in einem Positivton(PT) -Entwickler (210), wodurch eine strukturierte Lackschicht erhalten wird, wobei der PT-Entwickler umfasst: ein organisches Lösemittel, mehr als 50 Gewichtsprozent des PT-Entwicklers; Wasser, weniger als 50 Gewichtsprozent des PT-Entwicklers; und einen basischen gelösten Stoff, der eine nicht ionische Base ist.
  12. Verfahren (100) nach Anspruch 11, wobei der basische gelöste Stoff 2 Gewichtsprozent bis 20 Gewichtsprozent des PT-Entwicklers ausmacht.
  13. Verfahren (100) nach Anspruch 11 oder 12, des Weiteren umfassend, nach dem Belichten (106) des Teils der Lackschicht und vor dem Entfernen des belichteten Teils: Durchführen eines Backens nach dem Belichten an der Lackschicht.
  14. Verfahren (100) nach einem der Ansprüche 11 bis 13, wobei das Entfernen des belichteten Teils enthält: Auftragen des PT-Entwicklers (210) auf die Lackschicht unter Verwendung eines Rotationsprozesses.
  15. Verfahren (100) zur Lithografie-Strukturierung, umfassend: Bilden (104) einer Lackschicht über einer Trägerschicht (202); Belichten (106) eines Teils der Lackschicht mit Strahlung; und Entfernen des belichteten Teils (206B) der Lackschicht in einem positive tone developer, PT, -Entwickler, wodurch eine strukturierte Lackschicht erhalten wird, wobei der PT-Entwickler umfasst: ein organisches Lösemittel, mehr als 50 Gewichtsprozent des PT-Entwicklers; Wasser, weniger als 50 Gewichtsprozent des PT-Entwicklers; und einen basischen gelösten Stoff, weniger als 30 Gewichtsprozent des PT-Entwicklers, wobei der basische gelöste Stoff eine nicht ionische Base ist.
  16. Verfahren (100) nach Anspruch 15, wobei: das organische Lösemittel ein Molekulargewicht (Mw) von weniger als 300 hat; und der basische gelöste Stoff eine nicht ionische Base mit einem Molekulargewicht (Mw) von weniger als 300 ist.
  17. Verfahren (100) nach Anspruch 15 oder 16, wobei: das organische Lösemittel eines der folgenden enthält: eine funktionelle OH-Gruppe, eine funktionelle NH-Gruppe, eine funktionelle NH2-Gruppe, eine funktionelle SH-Gruppe, eine funktionelle Methoxy-Gruppe und eine funktionelle Ethoxy-Gruppe; und der basische gelöste Stoff eine nicht ionische Base mit einem Amin ist.
DE102015116964.9A 2015-08-31 2015-10-06 Verfahren zur Lithografie-Strukturierung Active DE102015116964B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/840,363 2015-08-31
US14/840,363 US9612536B2 (en) 2015-08-31 2015-08-31 Developer for lithography

Publications (2)

Publication Number Publication Date
DE102015116964A1 DE102015116964A1 (de) 2017-03-02
DE102015116964B4 true DE102015116964B4 (de) 2021-02-25

Family

ID=58010925

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015116964.9A Active DE102015116964B4 (de) 2015-08-31 2015-10-06 Verfahren zur Lithografie-Strukturierung

Country Status (5)

Country Link
US (1) US9612536B2 (de)
KR (2) KR20170026052A (de)
CN (1) CN106483776B (de)
DE (1) DE102015116964B4 (de)
TW (1) TWI584083B (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
CN109406586A (zh) * 2017-08-18 2019-03-01 蓝思科技(长沙)有限公司 碳纳米管传感器的制作方法及其用途
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10274847B2 (en) * 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
CN107942624A (zh) * 2018-01-24 2018-04-20 深圳市瑞世兴科技有限公司 一种用于pcb板的高精度洁净显影液
TWI676076B (zh) * 2018-04-27 2019-11-01 台灣美日先進光罩股份有限公司 光罩、光罩的製造方法及半導體光罩基板
TWI712775B (zh) * 2018-06-06 2020-12-11 台灣積體電路製造股份有限公司 半導體製程及半導體設備
US10877382B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for handling mask and lithography apparatus
JP7465185B2 (ja) * 2020-09-16 2024-04-10 キオクシア株式会社 原版の製造方法、および露光方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599683B1 (en) * 2002-02-13 2003-07-29 Micron Technology, Inc. Photoresist developer with reduced resist toppling and method of using same
US20120308938A1 (en) * 2011-06-01 2012-12-06 Jsr Corporation Method for forming pattern and developer
US20150227051A1 (en) * 2013-03-08 2015-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist Defect Reduction System and Method

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3417432B2 (ja) * 1994-12-08 2003-06-16 東京応化工業株式会社 レジスト用現像液組成物
WO2006134902A1 (ja) * 2005-06-13 2006-12-21 Tokuyama Corporation フォトレジスト現像液、および該現像液を用いた基板の製造方法
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP2010230721A (ja) * 2009-03-25 2010-10-14 Fujifilm Corp 感光性組成物、感光性フィルム、及び、永久パターン形成方法
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8512939B2 (en) 2009-09-25 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist stripping technique
US20110159447A1 (en) * 2009-12-25 2011-06-30 Tokyo Ohka Kogyo Co., Ltd. Developing solution for photolithography, method for forming resist pattern, and method and apparatus for producing developing solution for photolithography
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
JP5741521B2 (ja) * 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
JP6112665B2 (ja) * 2011-06-10 2017-04-12 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
GB2499663A (en) * 2012-02-27 2013-08-28 Conductive Inkjet Tech Ltd Protective coatings for photo-resists that are separately applied with different solvents but removed together using same solvent
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9057960B2 (en) * 2013-02-04 2015-06-16 International Business Machines Corporation Resist performance for the negative tone develop organic development process
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP2014219487A (ja) * 2013-05-02 2014-11-20 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法、現像液
JP6185874B2 (ja) * 2013-05-02 2017-08-23 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法、及び、電子デバイス
JP6180212B2 (ja) * 2013-07-12 2017-08-16 東京応化工業株式会社 パターン微細化用被覆剤
US9828469B2 (en) * 2013-09-27 2017-11-28 Toray Industries, Inc. Polyimide precursor, polyimide resin film produced from said polyimide precursor, display element, optical element, light-receiving element, touch panel and circuit board each equipped with said polyimide resin film, organic EL display, and methods respectively for producing organic EL element and color filter

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599683B1 (en) * 2002-02-13 2003-07-29 Micron Technology, Inc. Photoresist developer with reduced resist toppling and method of using same
US20120308938A1 (en) * 2011-06-01 2012-12-06 Jsr Corporation Method for forming pattern and developer
US20150227051A1 (en) * 2013-03-08 2015-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist Defect Reduction System and Method

Also Published As

Publication number Publication date
TW201708979A (zh) 2017-03-01
DE102015116964A1 (de) 2017-03-02
TWI584083B (zh) 2017-05-21
CN106483776A (zh) 2017-03-08
KR101983945B1 (ko) 2019-05-29
KR20170026052A (ko) 2017-03-08
US9612536B2 (en) 2017-04-04
KR20180058217A (ko) 2018-05-31
CN106483776B (zh) 2019-12-13
US20170059993A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
DE102015116964B4 (de) Verfahren zur Lithografie-Strukturierung
DE102017127260B4 (de) Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung
DE102013107142B4 (de) Verfahren zum Definieren mehrerer Schichtmuster bei Verwendung einer einzigen Belichtung
DE102015106580A1 (de) Verfahren zum strukturieren von integrierten schaltungen
US9459536B1 (en) Negative tone developer composition for extreme ultraviolet lithography
DE112014001478B4 (de) Nassablöseprozess für eine antireflektierende Beschichtungsschicht
US11158505B2 (en) Anti-reflective coating by ion implantation for lithography patterning
DE102015115652A1 (de) Verfahren zum strukturieren integrierter schaltungen
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
US20100273321A1 (en) Wet soluble lithography
US20200142314A1 (en) Lithography Patterning Technique
TW201835971A (zh) 用於微影製程之圖案化的方法
DE102013108872A1 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
US9927707B2 (en) Developer for lithography
CN102681338A (zh) 抗蚀剂图案改善材料、抗蚀剂图案形成方法、半导体装置及其制造方法
DE102018127447B4 (de) Anti-Reflexionsbeschichtung durch Ionenimplantation für lithographische Strukturierung
DE102020107358A1 (de) Negativton-fotoresist für euv-lithografie
DE102023107991A1 (de) Verfahren zur herstellung einer halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final