CN1638091A - 预防双重金属镶嵌结构的金属漏电的氮化物阻障层 - Google Patents

预防双重金属镶嵌结构的金属漏电的氮化物阻障层 Download PDF

Info

Publication number
CN1638091A
CN1638091A CNA2005100005947A CN200510000594A CN1638091A CN 1638091 A CN1638091 A CN 1638091A CN A2005100005947 A CNA2005100005947 A CN A2005100005947A CN 200510000594 A CN200510000594 A CN 200510000594A CN 1638091 A CN1638091 A CN 1638091A
Authority
CN
China
Prior art keywords
layer
barrier layer
base material
compound barrier
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100005947A
Other languages
English (en)
Other versions
CN100468689C (zh
Inventor
郑义荣
王英郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1638091A publication Critical patent/CN1638091A/zh
Application granted granted Critical
Publication of CN100468689C publication Critical patent/CN100468689C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了一种形成复合阻障层的方法,其中该复合阻障层可在镶嵌工艺中作为蚀刻终止,其为:于基材上在化学气相沉积工艺反应室中沉积碳化硅层,接下来沉积氮化硅层,藉以完成复合阻障层;碳化硅层对基材中的铜层显现出极佳的附着能力,且形成碳化硅层时利用避免产生反应性硅离子(Si4+)的方式,因此可防止硅化铜(CuSix)生成;氮化硅层的厚度足够来提供对金属离子较优异的阻障能力,但应愈薄愈好,以降低复合阻障层的介电常数;复合阻障层提供对铜层极佳的抵抗,而可制作成较传统氮化硅阻障层为低的漏电流。

Description

预防双重金属镶嵌结构的金属漏电的氮化物阻障层
技术领域
本发明提供一种集成电路与其它电子组件的制造方法,特别是有关于一种形成复合阻障层的改良方法,该改良方法降低了镶嵌工艺中所制造的金属(铜)内联机的漏电流。
背景技术
微电子组件中的集成电路的制造包括形成数个图案化的金属层,这些图案化的金属层依序覆盖在另一图案化金属层上,藉以提供水平与垂直的电子路径,这些路径通常称为金属线路,且一般呈水平线以及在金属线之间形成垂直连接的介层窗与接触窗。内金属介电(IMD)层通常形成于金属线路之间,以隔离电子路径,并防止干扰透过减缓电路速度来降低组件性能。
随着对更高效能的微电子组件的持续需求,该工业朝缩减组件电路的金属层的宽度与厚度的模式发展。此外,由于铜具有较高的导电率,因此利用铜取代铝来作为线路***的金属选择。不幸的是,铜的微电子组件在制造上具有一些障碍,铜不如铝一般易于蚀刻,因此铜层一般利用镶嵌工艺来制作,在镶嵌工艺中,先于内层介电(ILD)层中蚀刻出一开口,再进行铜的沉积来填充此开口。铜离子具有相当高的倾向会迁移至邻近介电层中,因此通常在内层介电层与铜层之间形成阻障层。由于硅化物无法阻隔铜离子,又容易与铜反应而产生不希望得到且会降低铜层导电率的铜氧化物,因此阻障层常包括氮原子而呈金属氮化物或氮化硅。
在镶嵌工艺中,第二铜层通常覆盖在位于基材中的第一铜层上,如此一来,当施加电流时,这两层之间就可能形成电性接触。然而,在第一铜层上方的内层介电层中形成开口的连串步骤中,第一铜层会暴露在蚀刻剂或化学物下,而这些蚀刻剂或化学物可能会侵蚀铜或与铜反应。因此,阻障层亦具有蚀刻终止层的功能,而在内层介电层形成前先沉积于第一铜层上。部分阻障层继续留在组件中,藉以封锁铜离子,而使铜离子不致扩散至上方的内层介电层中。作为蚀刻终止层的部分阻障层在于内层介电层中形成开口期间暴露在碳氟基的等离子体蚀刻中,且在灰化步骤以移除内层介电层上的光阻图案期间暴露在氧等离子体中。重要的特征在于,蚀刻终止层可防止氧原子攻击铜而形成氧化铜。举例而言,用来移除氮化硅阻障层的暴露部分的后续蚀刻步骤可能使用二氟甲烷(CH2F2)化学物,而正好就在第二铜层沉积之前进行。
利用等离子体增益化学气相沉积(PECVD)工艺来沉积氮化硅阻障层于第一铜层上的普及方法中,有一个问题会产生。等离子体增益化学气相沉积工艺通常包括利用硅甲烷(SiH4)、氮气以及氨作为蚀刻剂气体,以及应用射频(RF)电力来形成等离子体,其中反应物气体的化学键断裂,且反应配方再重组,而在基材上形成稳定的氮化硅层。在等离子体增益化学气相沉积工艺中,硅甲烷容易转变成易反应的硅离子(Si+4)形式,其中硅离子容易与基材中的暴露铜层反应,而在铜上形成硅化铜(CuSix)。位于第一铜层上的薄硅化铜层是造成产品组件的金属漏电问题的原因。
氮化硅阻障层的另一问题就是氮化硅对铜的附着力不佳。附着力不足可能导致氮化硅阻障层剥落,如此一来对组件性能与可靠度均有不利影响。因此,需要一种免除铜附着力问题以及消除硅化铜生成的问题,以维持氮化硅层的良好阻障性质的方法。
对阻障层的额外要求为,阻障层应具有介电层的作用,而有助于将金属层与另一金属层隔离。如此一来,介电常数(k值)应愈低愈好。大多数的含氮阻障层,例如氮化硅(k=7)或氮氧化硅,其k值并没有比传统氧化硅(K=4)内层介电层低。
美国专利6,593,653有关于低漏电流的氮化碳硅(SiCN)阻障层的描述。虽然在掺杂氮的碳化硅(SiCN)中k值可降至约4.9,然此材料的阻障能力并没有像氮化硅一般优良,且可能仍会导致硅化铜的产生。
在美国专利6,465,366中,已在镶嵌工艺中使用碳化硅层来作为阻障层。然而,在铜镶嵌结构中,碳化硅在防止铜扩散上并未如同氮化硅一般有效。
在美国专利6,570,256中,碳含量渐增的一连串碳掺杂的二氧化硅层形成于基材与绝缘层之间。这些中间层虽改善了绝缘层的附着力,但却无法期望这些中间层在铜镶嵌结构中具有良好的铜扩散阻障层的功能。
美国专利6,602,806揭露一种双重金属镶嵌设计,包括氮化硅硬罩幕层形成于硅基材上方的氧化层上。然而,于基材上形成氧化层时,基材包含有暴露的导电层,例如铜,而导致氧化铜形成,进而造成组件的电阻增加,因此通常均不希望进行这样的步骤。
在美国专利6,597,081中,描述由非常薄的氮化硅层与较厚的氮氧化硅层所组成的复合蚀刻终止层。该复合层的设计主要在镶嵌工艺的内层介电层中形成开口的等离子体蚀刻期间,使何时终止于蚀刻终止层上的较佳的终点侦测成为可能。
在美国专利6,455,417中,形成另一复合蚀刻终止层,该复合蚀刻终止层包括一层掺杂碳的二氧化硅层位于一层掺杂碳的氮化硅层上。这两层的厚度均介于10至1000之间,且均利用镶嵌方式的等离子体增益化学气相沉积工艺进行沉积。
美国专利6,479,391描述一种双重金属镶嵌方法,包括于有机介电层上形成双重硬罩幕,介层窗与沟渠形成于这些硬罩幕中,接着将图案蚀刻转移至下方的介电层中。然并未提出有关形成非反应性阻障层于铜层表面上的描述。
发明内容
本发明的目的在于提供一种复合阻障层,该复合阻障层对铜具有良好的附着力,并可防止铜离子扩散至邻近的介电层中。
本发明的目的还在于提供一种在镶嵌工艺中形成复合阻障层的方法,其中此阻障层由氮化硅所构成,且对铜具有良好的附着力。
本发明的目的还在于提供一种于铜层上形成由氮化硅所构成的复合阻障层的方法,并不会形成硅化铜,因此可避免硅化铜(CuSix)漏电问题。
本发明的目的还在于提供一种复合阻障层,其中此复合阻障层具有蚀刻终止层的功能,而防止氧原子或其它化学物于镶嵌工艺期间攻击位于下方的铜层。
本发明的目的还在于提供一种镶嵌结构的复合阻障层,具有较氮化硅低的介电常数与漏电流。
为了达到上述目的,本发明提供了一种在镶嵌工艺中于基材上形成复合阻障层的方法,至少包括:
(a)利用注入硅与碳来源气体来等离子体沉积碳化硅层于该基材上,其中该硅与碳来源气体不会在等离子体中形成反应性硅离子(Si4+);
(b)等离子体沉积氮化硅层于该碳化硅层上,以形成复合阻障层;
(c)形成介电层于该复合阻障层上;
(d)形成具有复数个侧壁的开口于该介电层中,其中该开口穿过该复合阻障层;
(e)形成扩散阻障层于该开口的该些侧壁上;
(f)形成导电层于该扩散阻障层上。
本发明还提供了一种在镶嵌工艺中于基材上形成的复合阻障层,该复合阻障层由上述方法制得,该复合阻障层对铜具有良好的附着力,并可防止铜离子扩散至邻近的介电层中。
上述在镶嵌工艺中于基材上形成复合阻障层的方法,优选还包括利用化学机械研磨(CMP)工艺来平坦化该导电层;其中该方法中步骤(a)与步骤(c)在化学气相沉积反应室中进行,且步骤(a)、步骤(b)与步骤(c)在同一化学气相沉积工艺机台中进行;该碳化硅层的厚度介于100至150之间;其中基材还优选至少包括铜层,且该铜层具有暴露上表面,而该开口形成于该铜层的上方;所述沉积该碳化硅层时利用一工艺,该工艺至少包括控制:(1)三甲基硅烷或四甲基硅烷的流率介于每分钟300立方厘米至500立方厘米(即,标准立方公分,sccm),(2)氦气的流率介于600sccm至1000sccm,(3)射频电力介于300瓦(watts)至500瓦(watts)之间,(4)反应室温度介于200℃至450℃之间,(5)以及反应室压力介于10托耳(torrs)至12托耳之间;该氮化硅层的厚度介于300至500之间。
其中沉积该氮化硅层时,利用一工艺,该工艺至少包括控制:硅甲烷的流率介于60sccm至100sccm之间;氮气的流率介于3000sccm至5000sccm之间;氨的流率介于25sccm至45sccm之间;射频电力约介于350瓦至500瓦之间;反应室温度介于200℃至450℃之间;反应室压力介于2托耳至5托耳之间。
上述导电层优选由铜组成;碳化硅层优选由非晶硅碳化物(α-SiC:H)组成;其中将该开口穿过该复合阻障层利用等离子体蚀刻,该等离子体蚀刻至少包括控制:氮气流率介于40sccm至100sccm之间;氟甲烷流率介于50sccm至150sccm之间;以及反应室压力介于100mTorr至200mTorr之间。
本发明还提供了一种镶嵌结构,该镶嵌结构包括形成于基材上的复合阻障层,该镶嵌结构至少括:
(a)该基材上;
(b)该复合阻障层形成于该基材上,其中该复合阻障层由位于上方的氮化硅层以及位于下方的碳化硅层所构成;
(c)介电层于上方的该氮化硅层上;
(d)具有复数个侧壁的开口形成于该介电层中,其中该开口穿过该复合阻障层;
(e)共形扩散阻障层形成于该开口的该些侧壁上;
(f)平坦化导电层形成于该共形扩散阻障层上并填充该开口,其中该平坦化导电层与该介电层的上表面共平面。
上述包括所述复合阻障层的镶嵌结构,其中介电层优选由氟硅玻璃(FSG)、掺杂碳的二氧化硅或倍半氧硅烷高分子聚合物(silsesquioxane polymer)所构成,且该介电层的厚度优选介于4000至10000之间;该开口至少包括接触洞、介层窗、沟渠或沟渠形成于介层窗的上方;该扩散阻障层的材质选自于由钽、氮化钽、钛、氮化钛、氮硅化钽、钨、氮化钨及其组合所组成的族群。
本发明还提供了一种双重金属镶嵌结构,该双重金属镶嵌结构包括复合阻障层,且该双重金属镶嵌结构至少包括:
(a)基材;
(b)该复合阻障层形成于该基材上,其中该复合阻障层由位于上方的氮化硅层以及位于下方的碳化硅层所构成;
(c)介电层于该复合阻障层上;
(d)具有复数个侧壁的开口位于该介电层中,其中该开口穿过该复合阻障层;
(e)共形扩散阻障层位于该开口的该些侧壁上;
(f)平坦化导电层位于该共形扩散阻障层上。
上述镶嵌结构以及双重金属镶嵌结构还至少包括形成于该介电层上的覆盖层,该覆盖层与该导电层的上表面共平面;该覆盖层优选由氮化硅、碳化硅或氮氧化硅所组成。
本发明的金属镶嵌结构藉由上述方法制得的复合阻障层的结构,使其具有较氮化硅低的介电常数与漏电流。
本发明藉由提供基材,其中第一金属(铜)层形成在第一介电层中,且第一金属(铜)层具有暴露的上表面。本发明的重要特征在于沉积复合阻障层于第一介电层与第一铜层上。该复合阻障层由底层的碳化硅层所构成,其中底部碳化硅层的厚度介于约100至150之间,且底部碳化硅层的沉积利用等离子体增益化学气相沉积工艺,而底部碳化硅层的沉积优选是包括利用三甲基硅烷或四甲基硅烷作为硅与碳的来源气体,以及利用氦气作为载气。在碳化硅来源气体中,将硅-氢键的数量减至最小,以防止硅离子(Si4+)形成。在优选实施例中,使用硅烷、氮气与氨作为蚀刻剂气体,藉以沉积氮化硅层来当作复合阻障层的上层。氮化硅层尽可能控制在愈薄愈好,以将复合阻障层的介电常数减到最小。
复合阻障层优选是应用在单或双重金属镶嵌结构中,其中第二介电层沉积在复合阻障层上。在双重金属镶嵌工艺流程中,利用传统的图案化与等离子体蚀刻次序于第二介电层中形成介层窗开口,其中等离子体蚀刻终止于氮化硅层上。利用第二图案化与蚀刻次序于第二介电层中的介层窗开口上方形成沟渠。接下来,利用等离子体蚀刻工艺移除位于介层窗开口底部的氮化硅层与碳化硅层。镶嵌工艺的完成是利用一连串包括沉积共形扩散阻障层于介层窗开口与沟渠所侧壁与底部上;沉积第二金属层填充介层窗开口与沟渠;平坦化第二金属层以使第二金属层与第二介电层共平面。
本发明提出一种镶嵌结构,至少包括:基材;复合阻障层形成于基材上;内层介电层形成于复合阻障层上;开口形成于内层介电层中,其中开口延伸穿过复合阻障层;铜层形成于上述的开口内,其中铜层与内层介电层的上表面共平面。在优选实施例中,铜层形成于开口内的共形扩散阻障层上。复合阻障层包括碳化硅层以及氮化硅层位于碳化硅层上。在实施例中,开口形成于第一铜层,而第二铜层形成于开口内以与第一铜层接触。
附图说明
图1:本发明的复合阻障层的剖面图,其中该复合阻障层形成于基材上,而基材包括介电层与第一金属层;
图2至图5:在双重金属镶嵌工艺中并入本发明的复合阻障层,而在第一金属层上形成第二金属层的工艺剖面图;
图6:施加氧气/二氧化碳等离子体于阻障层上,以测定阻障层在防止下方的铜层氧化上的效力测试的剖面图;
图7至图8:与传统的氮化硅阻障层相较之下,包含本发明的复合阻障层的组件,具有较低漏电流的曲线图;
图9:具有本发明的复合阻障层的单镶嵌结构的剖面图。
附图标号:
10:基材                      11:第一介电层
12:介层窗                    13:沟渠
14:第一共形扩散阻障层        15:第一铜层
16:碳化硅层                  17:氮化硅层
18:第二介电层                19:第一光阻层
20:介层窗开口                21:第二光阻层
22:沟渠                      23:扩散阻障层
24:导电层                    30:基材
31:铜层                      32:阻障层
33:二氧化碳等离子体处理      40:曲线
41:曲线                      42:曲线
43:曲线                      50:基材
51:碳化硅层                  52:氮化硅层
53:介电层                    54:开口
55:扩散阻障层                56:第二导电层
d:深度
具体实施方式
本发明在形成微电子组件上有其特别效用,在该微电子组件中导电层形成于铜层上,且该组件包括一层复合阻障层介于铜层与内层介电层之间。复合阻障层亦可作为镶嵌工艺的蚀刻终止层。虽然附图绘示一种双重金属蚀刻工艺,然本发明的复合阻障层可形成于单镶嵌工艺或其它阻障层亦作为蚀刻终止层的应用的基材上。所提供的附图仅用以举例,而非用以限制本发明的范围。另外,附图中的各式组件并不必然依比例绘示,其相对尺寸可不同于真实微电子组件的尺寸。
本发明首先将就复合阻障层的形成方法及其在镶嵌工艺中的应用的方面来做描述。接着,描述具有该复合阻障层的镶嵌结构。本领域技术人员将了解本发明的方法与镶嵌结构可在基材上重作复数次,以形成具有数层堆栈排列的铜层的组件。
请参照图1,其提供基材10,基材10一般为单晶硅,然可选择性地建立在例如绝缘层上有硅或硅锗技术上。基材10可进一步包括主动与被动组件,其中为了简化附图所以并未绘示于图1中。并且,提供第一介电层11,第一介电层11已利用化学气相沉积(CVD)、等离子体增益化学气相沉积或旋涂法沉积在基材10上。第一介电层11优选是由低介电常数材料,例如掺杂氟的二氧化硅,亦即已知的氟硅玻璃(FSG)、掺杂碳的二氧化硅、聚方基醚[poly(arylether)]、倍半氧硅烷高分子聚合物(silsesquioxane polymer)、或苯环丁烯(benzocyclobutene)。第一介电层11可替代性地由二氧化硅、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)所组成。在示范方法中,利用传统的图案化与等离子体蚀刻技术,在第一介电层11中形成由介层窗12与上方的沟渠13所组成的开口。
利用等离子体增益化学气相沉积、原子层沉积(ALD)或金属有机化学气相沉积工艺形成第一共形扩散阻障层14位于介层窗12与沟渠13的侧壁与底部上;接下来,利用例如电镀或无电电镀法沉积第一铜层15来填充介层窗12与沟渠13;一般,利用化学机械研磨(CMP)工艺平坦化第一铜层15与第一共形扩散阻障层14。虽然图1所绘示的是第一铜层15填充沟渠13与介层窗12,然本领域技术人员所能了解的其它形状,例如形成于复数个介层窗上方的沟渠或单镶嵌结构的沟渠本身,也是可允许的。
本发明的关键特征在于复合阻障层的形成,其中该复合阻障层由位于下方的碳化硅层16与位于上方的氮化硅层17所组成,且该复合阻障层形成于第一铜层15与第一介电层11上。复合阻障层优选是于化学气相沉积机台,例如由位于加州圣克拉拉的应用材料公司(Applied Materials)或位于加州圣荷西的诺发(Novellus)***公司,所提供的工艺反应室中形成。需了解的是,一个化学气相沉积机台可具有多个工艺反应室,其中可在第一反应室中沉积第一薄膜于基材上,并可于第二反应室中沉积第二薄膜于第一薄膜上,而无须使基材暴露于空气下或从化学气相沉积机台中移走该基材。
厚度介于100至150之间的碳化硅层16优选是利用一系列的工艺来进行沉积,其中该系列的工艺首先包括将上方具有第一介电层11、第一共形扩散阻障层14与第一铜层15的基材10加载于化学气相沉积反应室(未图示)的承托盘上。利用真空装置并透过出气口来移除空气,藉以在降低的压力下稳定工艺反应室。在此同时,增加工艺反应室的温度,以在后续的工艺序列中提供较快速的沉积率。硅与碳的来源气体透过散布盘等流入反应室中,其中硅与碳的来源气体优选是三甲基硅烷(3MS)或四甲基硅烷(4MS)。将硅与碳的来源气体中的硅-氢键的数量予以最小化,以防止硅离子(Si4+)在后续的沉积期间形成。应该注意的是,硅-氢键的键结能(98电子伏特)小于硅-甲基键的键结能(102电子伏特),因此硅-氢键较容易打断。如此,当四甲基硅烷未形成硅离子形式时,硅甲烷(SiH4)容易转变成硅离子。
可选择地运用其它不会在碳化硅沉积工艺中形成硅离子的硅与碳来源气体。另外,优选是将氦气或氩气导入反应室来作为硅与碳来源气体的载气。藉由施加射频电力来产生等离子体。已了解的一点是,氦气或氩气与硅与碳来源气体可在施加射频电力前先流入工艺反应室数秒钟,以稳定工艺反应室的压力。
优选的沉积状态为:三甲基硅烷/四甲基硅烷的流率介于每分钟约300立方厘米至500立方厘米(sccm);氦气的流率介于约600sccm至1000sccm;射频电力介于约300瓦(watts)至500瓦之间;反应室压力介于约10托耳(torrs)至12托耳之间;以及反应室温度介于约200℃至450℃之间。在上述状态下,碳化硅层16的沉积速率每分钟约300至800;碳化硅层16的沉积通常持续一段预设期间,其中此预设期间约6秒至20秒。在另一替代实施例中,可在相似的工艺条件下形成非晶系的碳化硅(α-SiC:H)层16。
在实施例中,在与碳化硅层16相同的化学气相沉积工艺反应室中沉积氮化硅层17,一旦达到碳化硅层16的可接受厚度,关闭射频电力,且硅甲烷、氮气与氨流入反应室并持续约10秒至20秒,直到氦气与三甲基硅烷/四甲基硅烷纯化且达到稳定压力;再施加射频电力,以开始氮化硅的沉积。沉积步骤持续进行直至达到氮化硅层17的可接受厚度为止,其中氮化硅层17的可接受厚度约为300至500之间;沉积氮化硅的优选工艺状态为:硅甲烷的流率介于约60sccm至100sccm之间;氮气的流率介于约3000sccm至5000sccm之间;氨的流率约介于25ccm至45sccm之间;射频电力介于约350瓦至500瓦之间;反应室压力介于约2托耳至5托耳之间;以及反应室温度介于约200℃至450℃之间。在上述状态下,氮化硅层17每分钟约2500至3500的速率沉积;氮化硅的沉积温度优选是近似于碳化硅沉积的温度,如此,在等候温度以稳定氮化硅沉积步骤上,不会有所延迟。
一旦获得可接受的碳化硅层16,可替代性地从工艺反应室中将基材10移开,并将基材10转换到与使用上述工艺状态沉积氮化硅层17相同的化学气相沉积机台的另一工艺反应室中。应该注意的是,氮化硅沉积通常进行一段预设时间,其中此预设时间介于约5秒至15秒之间。
本发明的复合阻障层与现有技术相较之下,其优点为由于所需性质较少地遭减弱,因此碳化硅与氮化硅的最佳阻障性质得以维持。举例而言,碳化硅层16提供对第一铜层15优异的附着能力,且结合氮化硅层17的优异的阻障能力。由于在沉积序列中,先形成碳化硅层16,而硅化铜(CuSix)生成的问题通常与氮化硅的沉积有关,因此不会有关于硅化铜(CuSix)生成的问题产生;其次,在沉积步骤中,形成碳化硅层16时并不会产生硅离子,因此可避免硅化铜(CuSix)形成于第一铜层15上。硅与碳的来源气体的硅-氢键的数量最小化亦使所沉积的碳化硅层具有较少的悬浮硅键,因此可使得碳化硅层16更为稳定,进而使得碳化硅层16不可能来搜寻氧原子而形成不稳定的硅-氢氧键;再者,复合阻障层提供优异的蚀刻终止性能,而可在后续工艺期间防止第一铜层15产生氧化。于复合阻障层应用在镶嵌工艺的描述中,该复合阻障层的其它优点将更为明显。
利用碳化硅层来取代位于铜层上的氮化硅层所实现的附着力改善可在传统的旋拉测试(Stud Pull Test)中获得证实。先将螺柱粘附在阻障层薄膜的上表面,而此阻障层薄膜已沉积于铜层上,再以垂直于阻障薄膜的方向拉螺柱,直至阻障层从铜层上分开为止。记录使阻障层与铜层发生分离所需的负载,并将所需负载转换成应力值,如表1所示。所记录的结果显示出需要比将传统的氮化硅阻障层从铜表面分开较高的负载(较高的压力)来将铜层从本发明的复合阻障层分开。
                   表1  阻障层对铜的附着力的旋拉测试结果
    平均[公斤/平方厘米(Kg/cm2)]   平均[百万帕(MPa)]
氮化硅阻障层     673     66
氮化硅位于碳化硅上的复合层     723     70.9
请参照图2,利用化学气相沉积法、等离子体增益化学气相沉积法或旋涂法沉积第二介电层18于氮化硅层17上,以继续镶嵌工艺。第二介电层18选自于与第一介电层11相同的材料族群。在实施例中,第一介电层11与第二介电层18由厚度介于约4000至10000的氟硅玻璃所组成,于氟硅玻璃介电层的沉积后,可利用本领域技术人员熟知的沉积工艺,包括在约300℃至450℃的惰性气体环境下的回火、或等离子体处理,来使氟硅玻璃层更为致密,以在后续步骤中防止水分的吸收。此外,由碳化硅、氮化硅或氮氧化硅所构成的覆盖层(未图示)可沉积于第二介电层18上。该覆盖层在后续的平坦化步骤中作为终止层,并可在后续的图案化步骤中作为抗反射覆盖(Anti-reflection Coating;ARC)层。
接着,覆盖第一光阻层19于第二介电层18上,并图案化该第一光阻层19,藉以在第一铜层15上方形成介层窗开口20;于第二介电层18上选择性地不生成覆盖层时,可在涂布第一光阻层19前,先在第二介电层上利用旋转涂布与烘烤商用抗反射覆盖材料,以形成有机抗反射覆盖层。利用等离子体蚀刻工艺将介层窗开口20转移至第二介电层18中,其中此等离子体蚀刻工艺一般以碳氟化合物的化学物为基础。由于氧化物蚀刻对氮化层具有高选择比,因此等离子体蚀刻步骤终止于氮化硅层17上。氮化硅与其它由氧化物或碳化硅所构成的蚀刻终止层相较之下,具有优势,因为氮化硅对主要成分为氧化物的第二介电层18具有较高的蚀刻选择比。此外,在蚀刻工艺的终点讯号上,氮化硅蚀刻终止层较由氧化物所构成的蚀刻终止层更为明显,如此一来可防止过度蚀刻而伤害第一铜层15。
请参照图3,利用氧灰化工艺剥除第一光阻层19。已经了解的一点是,氧灰化工艺可包括其它氧化剂,例如二氧化碳(CO2),由氮化硅层17与碳化硅层16所组成的复合阻障层可藉由防止反应性氧配方攻击铜而形成非所需的铜氧化物,来保护第一铜层15。在此实施例中,有机抗反射覆盖层形成于第二介电层18上,而此有机抗反射覆盖层与第一光阻层19同时移除。
表2所列为在氧灰化步骤中,碳化硅蚀刻终止层提供比氮化硅层更好的保护来防止铜氧化。表2所列的结果从图6所示的测试中获得。在图6中,沉积铜层31于基材30上;接着,利用等离子体增益化学气相沉积工艺形成阻障层32于铜层31上。以下列条件进行持续15秒的二氧化碳等离子体处理步骤来仿真真实的灰化工艺:二氧化碳的流率介于约300sccm至500sccm之间;射频电力介于约100瓦至400瓦之间;反应室压力介于约2托耳至4托耳之间;以及基材温度介于约200℃至450℃之间。在阻障层32沉积之前与之后以及二氧化碳等离子体处理33后,利用加州苗必达(Milpitas)的耐诺公司(Nanometrics)所提供的NanoSpec 9100机台来测量铜层31的反射率。测量的结果:从二氧化碳处理后的反射率的下降显示出120厚的氮化硅构成的阻障层32会使铜层31有点受到氧化;另一方面,按照前述形成碳化硅层16所使用的方法来沉积厚度80或120的碳化硅薄膜,藉以防止铜层31氧化,而经二氧化碳处理后显示出近似或稍高铜反射率。
                        表2  阻障层在防止铜氧化的效力
                   相较于硅的铜反射率
厚度 阻障层沉积前 阻障层沉积后  15秒的二氧化碳等离子体处理后
  氮化硅   120     156.32%     150.78%     149.86%
  碳化硅   80     156.11%     153.01%     153.2%
  碳化硅   120     155.79%     151.02%     153.09%
藉由结合前述氮化硅的蚀刻选择比与碳化硅层所提供的高抗氧化能力,本发明的复合层具有单一阻障层或蚀刻终止层所无法提供的两种有价值的性质。
请再参照图3,于第二介电层18上涂覆第二光阻层21,并图案化该第二光阻层21,在介层窗开口20的上方形成沟渠22。可选择性地利用本领域技术人员已知的方式于介层窗开口20中形成惰性插塞(未图示),藉以使第二光阻层21的涂覆更加平坦。此外,可在第二光阻层21涂覆前,先于第二介电层18上形成第二有机抗反射覆盖层,以在图案化步骤期间控制反射率,将沟渠22转移至第二介电层18中,并使沟渠深度d介于约2500至4000之间;进行沟渠22蚀刻时,利用氟碳化合物为主的等离子体,并利用氮化硅层17作为蚀刻终止层,以防止过度蚀刻可能对下方的第一铜层15所造成的伤害。
请参照图4,利用氧气等离子体剥除第二光阻层21。在另一替代实施例中,第二有机抗反射覆盖层与选择性形成的惰性插塞与第二光阻层21同时移除。如同先前所述,碳化硅层16于灰化工艺中,在阻隔含反应性氧使其无法到达第一铜层15上特别有其效用,因而可防止铜氧化物形成。接着,可利用例如八氟化五碳(C5F8)/氩气/一氧化碳化学物的等离子体蚀刻将介层窗开口20转移至氮化硅层17中,以及优选是在温和的状态下利用氮气/氟甲烷/氩气等离子体蚀刻,而将介层窗开口20转移至碳化硅层16中,以避免对第一铜层15造成伤害。氮化硅层17与碳化硅层16的蚀刻可在同一步骤中进行,在该蚀刻步骤中,氮气流率约为40sccm至100sccm,氟甲烷的流率约为50sccm至150sccm,而反应室压力从100mTorr至200mTorr。
请参照图5,利用等离子体增益化学气相沉积工艺、金属有机化学气相沉积工艺、或原子层沉积工艺沉积共形的扩散阻障层23于介层窗开口20与沟渠22的侧壁与底部上,其中扩散阻障层23的材质优选为钽(Ta)、氮化钽(TaN)、钛、氮化钛(TiN)、氮硅化钽(TaSiN)、钨(W)或氮化钨(WN)等材料之一或多种。扩散阻障层23可选择性地形成沟渠22的侧壁与底部以及介层窗开口20的侧壁上。接着,利用传统的方法,例如包括于利用电镀或无电极电镀工艺沉积导电层24前先于扩散阻障层23上沉积晶种层(未图示),沉积导电层24于扩散阻障层23上,其中导电层24的材质优选为铜。导电层24沉积至填满介层窗开口20与沟渠22的高度。利用后续的平坦化工艺来降低导电层24与扩散阻障层23的高度至与第二介电层18的上表面共平面,其中平坦化工艺通常采用化学机械研磨步骤。在实施例中,覆盖层形成于第二介电层18上,覆盖层可在平坦化工艺后仍留在第二介电层18上。
本发明的复合阻障层的另一优点为邻近于复合阻障层的导电层具有低漏电流。请参照图7,曲线41代表依照本发明的方法的一种镶嵌工艺所形成的导电层24的漏电流。在实施例中,导电层24为铜,且复合层包括厚度约300至500的氮化硅层17位于厚度100至150的碳化硅层16上,如图5所示,曲线40代表利用传统厚度500的氮化硅阻障层来取代复合阻障层时所引发的较高漏电流。请参照图8,可观测到:与使用传统氮化硅阻障层(曲线43)来邻近M1铜层相较之下,制作包括如图2至图5所示的阻障层的镶嵌结构的导电层的M1铜层具有较低的漏电流(曲线42)。
本发明亦提供一种包括复合阻障层的镶嵌结构,其中该复合阻障层由上方的氮化硅层与形成于基材上的位于下方的碳化硅层。虽然图9所示为单镶嵌结构,复合阻障层亦可为双重金属镶嵌结构的一部分。此外,在双重金属镶嵌结构中,本发明考虑到各种设计,包括一种依序形成于基材上的第一复合阻障层、第一介电层、第二复合阻障层与第二介电层。在此种形式中,如同本领域技术人员所知,第二复合阻障层主要在沟渠制作时用来提供蚀刻终止层的作用。
请参照图9,所示的基材50通常为单晶硅,但也可选择性地采用硅锗(Si-Ge)、绝缘层上有硅(Silicon-on-insulator;SOI)或其它在该产业所使用的基材。基材50还可包括具有导电层与介电层(未图示)的主动与被动组件。在优选实施例中,基材50具有第一导电层(未图示),其中该第一导电层具有暴露的上表面。
本发明的关键特征为复合阻障层包括位于下方的碳化硅层51与位于上方的氮化硅层52。复合阻障层优选是依照先前所述的等离子体增益化学气相沉积工艺顺序来加以制造,其中碳化硅层51与氮化硅层52在化学气相沉积机台的同一工艺反应室中进行沉积。碳化硅层51具有约100至150之厚度,在该实施例中特别有利,其中由于碳化硅对铜具有极佳的附着能力,因此位于基材50中的具有暴露表面的第一导电层使用铜。碳化硅层51使氮化硅层52并入复合阻障层中,而无需考虑氮化硅对铜的附着能力的问题,其中氮化硅对铜的附着能力通常是有问题的。在另一替代实施例中,复合阻障层的底层为非晶硅碳化物(α-SiC:H)层。
氮化硅层52具有约250至500的厚度。当复合阻障层形成于下方的第一导电层上时,氮化硅层52作为阻障来防止金属扩散至上方的介电层。氮化硅层52的厚度愈薄愈好,以缩减复合阻障层的介电常数。
于氮化硅层52上形成介电层53,介电层53优选是由低介电常数的介电材料,例如掺杂碳的二氧化硅、掺杂氟的二氧化硅、倍半氧硅烷高分子聚合物(silsesquioxane polymer)、聚方基醚[poly(arylether)]、或苯环丁烯(benzocyclobutene)所组成。介电层53的厚度约为4000至10000之间。在另一替代实施例中,介电层53由氮化硅、磷硅玻璃或硼磷硅玻璃所组成。
在介电层53中,具有可能为介层窗、接触洞或沟渠的开口54。在另一替代实施例中,复合阻障层形成于双重金属镶嵌结构中,且开口54为沟渠形成于介层窗上;开口54穿过复合阻障层。在该实施例中,第一导电层与基材50的上表面共平面,而开口54对准第一导电层,如此一来暴露出部分的第一导电层;共形的扩散阻障层55位于开口54的侧壁与底部,其中扩散阻障层55由钽、氮化钽、钛、氮化钛、氮硅化钽、钨或氮化钨等材料中之一或一个以上所构成;扩散阻障层55可选择性地形成于开口54的侧壁上;形成第二导电层56于共形的扩散阻障层55上,其中第二导电层56填满开口54,且第二导电层56的上表面与介电层53的上表面共平面。第二导电层56的材质优选为铜,但也可以为铝/铜、钨或本领域所使用的另一导电材料。
在单层阻障层中并无优异的附着能力(来自碳化硅)与优良的阻障能力(来自于氮化硅)等宝贵特性,本发明的具有复合层的镶嵌结构更拥有低漏电流的优势。如图7所示,曲线41代表由本发明的镶嵌结构中的第二导电层56所产生的漏电流,曲线40代表由传统镶嵌结构的第二导电层所产生的较大漏电流,其中传统镶嵌结构中,其利用传统的氮化硅阻障层来取代本发明的碳化硅层51与氮化硅层52。请参照图8,可观测到:本发明的镶嵌结构的阻障层的M1铜层具有较低的漏电流(曲线42),而曲线43则显示出具氮化硅阻障层的传统镶嵌结构中的M1铜层具有较高的漏电流。
虽然本发明的优选实施例揭示与描述如上,然本领域技术人员在不脱离本发明的精神和范围内,当可作各种形式或细节上的变更。

Claims (20)

1.一种在镶嵌工艺中于基材上形成复合阻障层的方法,至少包括:
(a)利用注入硅与碳来源气体来等离子体沉积碳化硅层于该基材上,其中该硅与碳来源气体不会在等离子体中形成反应性硅离子;
(b)等离子体沉积氮化硅层于该碳化硅层上,以形成复合阻障层;
(c)形成介电层于该复合阻障层上;
(d)形成具有复数个侧壁的开口于该介电层中,其中该开口穿过该复合阻障层;
(e)形成扩散阻障层于该开口的该些侧壁上;
(f)形成导电层于该扩散阻障层上。
2.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,还包括利用化学机械研磨工艺来平坦化该导电层。
3.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该步骤(a)与该步骤(c)在化学气相沉积反应室中进行,且该步骤(a)、步骤(b)与该步骤(c)在同一化学气相沉积工艺机台中进行。
4.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该碳化硅层的厚度介于100至150之间。
5.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该基材还包括铜层,且该铜层具有暴露上表面,而该开口形成于该铜层的上方。
6.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中沉积该碳化硅层时利用一工艺,该工艺包括控制:
三甲基硅烷或四甲基硅烷的流率介于每分钟300立方厘米至500立方厘米;
氦气的流率介于600立方厘米至1000立方厘米;
射频电力介于300瓦至500瓦之间;
反应室温度介于200℃至450℃之间;以及
反应室压力介于10托耳至12托耳之间。
7.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该氮化硅层的厚度介于300至500之间。
8.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中沉积该氮化硅层时,利用一工艺,该工艺包括控制:
硅甲烷的流率介于60立方厘米至100立方厘米之间;
氮气的流率介于3000立方厘米至5000立方厘米之间;
氨的流率介于25立方厘米至45立方厘米之间;
射频电力介于350瓦至500瓦之间;
反应室温度介于200℃至450℃之间;
反应室压力介于2托耳至5托耳之间。
9.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该导电层由铜组成。
10.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中该碳化硅层由非晶硅碳化物组成。
11.如权利要求1所述的在镶嵌工艺中于基材上形成复合阻障层的方法,其中将该开口穿过该复合阻障层利用等离子体蚀刻,该等离子体蚀刻包括控制:
氮气流率介于40立方厘米至100立方厘米之间;
氟甲烷流率介于50立方厘米至150立方厘米之间;以及
反应室压力介于100mTorr至200mTorr之间。
12.一种镶嵌结构,该镶嵌结构包括形成于基材上的复合阻障层,该镶嵌结构包括:
(a)基材;
(b)该复合阻障层形成于该基材上,其中该复合阻障层由位于上方的氮化硅层以及位于下方的碳化硅层所构成;
(c)介电层于上方的该氮化硅层上;
(d)具有复数个侧壁的开口形成于该介电层中,其中该开口穿过该复合阻障层;
(e)共形扩散阻障层形成于该开口的该些侧壁上;
(f)平坦化导电层形成于该共形扩散阻障层上并填充该开口,其中该平坦化导电层与该介电层的上表面共平面。
13.如权利要求12所述的镶嵌结构,其中该介电层由氟硅玻璃、掺杂碳的二氧化硅或倍半氧硅烷高分子聚合物所构成,且该介电层的厚度介于4000至10000之间。
14.如权利要求12所述的镶嵌结构,其中该开口还包括接触洞、介层窗、沟渠或沟渠形成于介层窗的上方。
15.如权利要求12所述的镶嵌结构,其中该扩散阻障层的材质选自由钽、氮化钽、钛、氮化钛、氮硅化钽、钨、氮化钨及其组合所组成的族群。
16.如权利要求12所述的镶嵌结构,还至少包括覆盖层形成于该介电层上,其中该覆盖层与该导电层的上表面共平面。
17.如权利要求16所述的镶嵌结构,其中该覆盖层由氮化硅、碳化硅或氮氧化硅所组成。
18.一种双重金属镶嵌结构,该双重金属镶嵌结构包括复合阻障层,且该双重金属镶嵌结构至少包括:
(a)基材;
(b)该复合阻障层形成于该基材上,其中该复合阻障层由位于上方的氮化硅层以及位于下方的碳化硅层所构成;
(c)介电层于该复合阻障层上;
(d)具有复数个侧壁的开口位于该介电层中,其中该开口穿过该复合阻障层;
(e)共形扩散阻障层位于该开口的该些侧壁上;
(f)平坦化导电层位于该共形扩散阻障层上。
19.如权利要求18所述的双重金属镶嵌结构,其中该导电层由铜构成,且该导电层与该开口的上端共平面。
20.如权利要求18所述的双重金属镶嵌结构,还至少包括覆盖层形成于该介电层上,其中该覆盖层与该导电层的上表面共平面。
CNB2005100005947A 2004-01-08 2005-01-07 预防双重金属镶嵌结构的金属漏电的氮化物阻障层 Expired - Fee Related CN100468689C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/753,637 2004-01-08
US10/753,637 US7176571B2 (en) 2004-01-08 2004-01-08 Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure

Publications (2)

Publication Number Publication Date
CN1638091A true CN1638091A (zh) 2005-07-13
CN100468689C CN100468689C (zh) 2009-03-11

Family

ID=34739232

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100005947A Expired - Fee Related CN100468689C (zh) 2004-01-08 2005-01-07 预防双重金属镶嵌结构的金属漏电的氮化物阻障层

Country Status (3)

Country Link
US (1) US7176571B2 (zh)
CN (1) CN100468689C (zh)
TW (1) TWI246730B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101771010B (zh) * 2008-12-29 2012-03-14 台湾积体电路制造股份有限公司 集成电路结构
CN103035564A (zh) * 2011-09-29 2013-04-10 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103500728A (zh) * 2013-09-29 2014-01-08 武汉新芯集成电路制造有限公司 一种铜阻挡层和铜晶籽层的形成方法
CN104716085A (zh) * 2013-12-17 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106463458A (zh) * 2014-06-27 2017-02-22 密克罗奇普技术公司 针对空气间隙辅助的蚀刻的自对准双镶嵌工艺

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
KR100514172B1 (ko) * 2004-01-19 2005-09-09 삼성전자주식회사 반도체 소자 형성방법
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
DE102004029519A1 (de) * 2004-06-18 2006-01-12 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung
JP2006165115A (ja) * 2004-12-03 2006-06-22 Toshiba Corp 半導体装置
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20070080067A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
KR100729126B1 (ko) * 2005-11-15 2007-06-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 그 형성 방법
WO2008047715A1 (fr) * 2006-10-12 2008-04-24 Nissan Chemical Industries, Ltd. procédé de fabrication d'un dispositif semi-conducteur à l'aide d'un stratifié à quatre couches
US7948094B2 (en) * 2007-10-22 2011-05-24 Rohm Co., Ltd. Semiconductor device
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
JP5366235B2 (ja) * 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2010010656A (ja) * 2008-05-26 2010-01-14 Renesas Technology Corp 半導体装置およびその製造方法
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9941160B2 (en) * 2013-07-25 2018-04-10 Globalfoundries Singapore Pte. Ltd. Integrated circuits having device contacts and methods for fabricating the same
CN105336675B (zh) * 2014-07-29 2019-03-12 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
TWI592063B (zh) * 2014-09-30 2017-07-11 矽品精密工業股份有限公司 線路結構及其製法
KR102399345B1 (ko) * 2014-11-12 2022-05-19 삼성전자주식회사 반도체 장치의 제조 방법
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US9721889B1 (en) * 2016-07-26 2017-08-01 Globalfoundries Inc. Middle of the line (MOL) metal contacts
US10672652B2 (en) * 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient atomic layer deposition
TWI669209B (zh) 2018-09-28 2019-08-21 國立清華大學 擴散阻障結構、導電疊層及其製法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US7164206B2 (en) * 2001-03-28 2007-01-16 Intel Corporation Structure in a microelectronic device including a bi-layer for a diffusion barrier and an etch-stop layer
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6800548B2 (en) * 2002-01-02 2004-10-05 Intel Corporation Method to avoid via poisoning in dual damascene process
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6693356B2 (en) * 2002-03-27 2004-02-17 Texas Instruments Incorporated Copper transition layer for improving copper interconnection reliability
DE10219116A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Verbindungslagen sowie zugehörige Herstellungsverfahren
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101771010B (zh) * 2008-12-29 2012-03-14 台湾积体电路制造股份有限公司 集成电路结构
CN103035564A (zh) * 2011-09-29 2013-04-10 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103035564B (zh) * 2011-09-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103500728A (zh) * 2013-09-29 2014-01-08 武汉新芯集成电路制造有限公司 一种铜阻挡层和铜晶籽层的形成方法
CN103500728B (zh) * 2013-09-29 2016-03-02 武汉新芯集成电路制造有限公司 一种铜阻挡层和铜晶籽层的形成方法
CN104716085A (zh) * 2013-12-17 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN104716085B (zh) * 2013-12-17 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106463458A (zh) * 2014-06-27 2017-02-22 密克罗奇普技术公司 针对空气间隙辅助的蚀刻的自对准双镶嵌工艺

Also Published As

Publication number Publication date
TWI246730B (en) 2006-01-01
US7176571B2 (en) 2007-02-13
TW200525643A (en) 2005-08-01
CN100468689C (zh) 2009-03-11
US20050153537A1 (en) 2005-07-14

Similar Documents

Publication Publication Date Title
CN1638091A (zh) 预防双重金属镶嵌结构的金属漏电的氮化物阻障层
CN1518075B (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
US7427563B2 (en) Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US7858519B2 (en) Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
CN102237272B (zh) 半导体装置和半导体装置制造方法
CN1222030C (zh) 制造具有碳化硅膜的半导体器件的方法
CN101030566A (zh) 半导体结构及其形成方法
US20090017563A1 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
CN1649126A (zh) 用于在半导体器件中形成互连线的方法及互连线结构
TW200536051A (en) Reliability improvement of sioc etch stop with trimethylsilane gas passivation in cu damascene interconnects
JP4746829B2 (ja) 半導体装置およびその製造方法
CN1577794A (zh) 镶嵌式金属内连线的制造方法及介电层的修复程序
CN101051621A (zh) 半导体装置的制造方法和半导体装置
US9177918B2 (en) Apparatus and methods for low k dielectric layers
KR100541185B1 (ko) 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
JP2004235548A (ja) 半導体装置およびその製造方法
JP4955314B2 (ja) 多孔性シリコン酸化膜の製造方法
CN1661799A (zh) 半导体器件
CN1652309A (zh) 异质低介电常数质材与其形成方法
CN102148216B (zh) 用于互连工艺的半导体结构及其制造方法
CN1617322A (zh) 在半导体装置中形成金属线的方法
CN1243378C (zh) 金属内连线的制造方法
KR100483202B1 (ko) 반도체 소자의 제조 방법
CN102468227A (zh) 半导体结构的制造方法
CN1781865A (zh) 增强氟硅玻璃层稳定性的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090311