CN1222030C - 制造具有碳化硅膜的半导体器件的方法 - Google Patents

制造具有碳化硅膜的半导体器件的方法 Download PDF

Info

Publication number
CN1222030C
CN1222030C CNB021062994A CN02106299A CN1222030C CN 1222030 C CN1222030 C CN 1222030C CN B021062994 A CNB021062994 A CN B021062994A CN 02106299 A CN02106299 A CN 02106299A CN 1222030 C CN1222030 C CN 1222030C
Authority
CN
China
Prior art keywords
film
mentioned
etching
substrate
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB021062994A
Other languages
English (en)
Other versions
CN1411050A (zh
Inventor
驹田大辅
各務克巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN1411050A publication Critical patent/CN1411050A/zh
Application granted granted Critical
Publication of CN1222030C publication Critical patent/CN1222030C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供一种制造半导体器件的方法,其采用可以很容易地去除蚀刻阻止膜或碳化硅制成的硬掩模的工艺。具体地,在半导体衬底上形成第一膜,该第一膜由蚀刻抗力不同于碳化硅的绝缘材料制成。在第一膜上形成由加氢的碳化硅制成的第二膜。在第二膜上形成具有开口的光刻胶膜。通过以光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体对第二膜进行干蚀刻。以第二膜作为掩模,对第一膜进行蚀刻。

Description

制造具有碳化硅膜的半导体器件的方法
(相关申请的交叉引用
本申请基于2001年10月10日递交的日本专利No.2001-312883,在此引入该专利全部内容作为引用。)
技术领域
本发明涉及半导体器件的制造方法,更具体地,涉及包含蚀刻工艺的半导体器件制造方法,这种蚀刻工艺使用加氢的(hydrogenated)碳化硅膜作为硬掩模或蚀刻阻止膜。
背景技术
下面对形成布线图案的传统方法进行简要说明。在半导体衬底上的中间层绝缘膜上淀积铝(Al)膜或钨(W)膜并构图,以形成布线图案。通过使用碱性化学物质去除位于布线图案的侧壁上的淀积物。然后,通过等离子体增强化学气相淀积,淀积覆盖布线图案的中间层绝缘膜。
近年来,半导体集成电路器件的高度集成化使得布线图案越来越细。较细的布线图案大幅度增加了布线图案之间的寄生电容,使得半导体集成电路的运算速度在一定程度上受到影响。为了降低布线图案之间的寄生电容,现在使用了形成具有低介电常数的中间层绝缘膜的技术和形成由铜(Cu)制成的低电阻配线层的技术。众所周知,的低介电常数中间层绝缘膜材料包含氟硅酸盐玻璃(FSG)、氢倍半硅氧烷(HSQ)及聚芳基醚(比如Allied Signal公司的FLARE或Dow化学公司的SiLK)等。
作为一种中间层绝缘膜,氮化硅(SiN)膜作为用于防止铜扩散的阻挡膜、蚀刻阻止膜或覆盖膜(cap film)。SiN的介电常数高于SiO2,从而对中间层绝缘膜的低介电常数有不良影响。作为SiN的替代品,碳化硅(SiC)已经引起人们的注意。
如果SiC被用作SiN的替代品,虽然中间层绝缘膜可以具有低的介电常数,但SiC膜会比SiN膜更难于蚀刻。由于在蚀刻过程中被解吸附的氢抑制蚀刻,SiC膜,尤其是包含Si-H键和Si-C键的SiC膜更难于被蚀刻。
如果使用有机绝缘材料的中间层膜通过大马士革(镶嵌,damascene)的方法形成布线图案,那么SiN被用作硬掩模材料。如果SiN被SiC替代,就难于在硬掩模下的有机绝缘膜蚀刻之后去除硬掩模。
发明内容
本发明的目的是通过使用可以很方便去除蚀刻阻止膜或由SiC制成的硬掩模的蚀刻方法,提供制造半导体器件的方法。
根据本发明的一个方面,提供一种制造半导体器件的方法,包含下列步骤:在半导体衬底上形成第一膜,该第一膜由蚀刻抗力与碳化硅不同的材料制成;在上述第一膜上形成第二膜,该第二膜由加氢的碳化硅制成;在上述第二膜上形成具有开口的光刻胶膜;通过使用上述光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第二膜进行干蚀刻;并且使用上述第二膜作为掩模对上述第一膜进行蚀刻。
本发明还提供一种制造半导体器件的方法,包含下列步骤:预备具有导电区的衬底,该导电区暴露于衬底的绝缘表面的部分区域;在上述衬底的表面上形成第一膜,该第一膜由加氢的碳化硅制成;在上述第一膜上形成由绝缘材料制成的第二膜;在上述第二膜上形成具有开口的光刻胶膜;通过使用上述光刻胶膜作为蚀刻掩模对上述第二膜进行蚀刻,以形成凹部,并将上述第一膜的部分表面区域暴露于该凹部的底端;灰化并去除上述光刻胶膜;通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,干蚀刻暴露于凹部底端的上述第一膜,以暴露上述衬底的导电区;并且将导电部件填埋入上述凹部。
本发明还提供一种制造半导体器件的方法,包含下列步骤:预备具有导电部件的衬底,该导电部件暴露于上述衬底的绝缘表面的部分区域;在上述衬底的表面上形成第一膜,该第一膜由加氢的碳化硅制成;在上述第一膜上形成第二膜,该第二膜由蚀刻抗力与碳化硅不同的绝缘材料制成;在上述第二膜上形成第三膜,该第三膜由加氢的碳化硅制成;在上述第三膜上形成具有开口的光刻胶膜,当沿平行于衬底表面法线的方向观察时,该开口与上述导电部件的部分区域重叠;通过使用上述光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第三膜进行蚀刻;通过在对第二膜的蚀刻速度大于对第一膜的蚀刻速度的条件下,使用上述光刻胶膜作为蚀刻掩模对上述第二膜进行干蚀刻,以形成凹部,并在上述凹部的底端暴露第一膜的部分表面区域;灰化并去除上述光刻胶膜;并且通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对暴露于上述凹部的底端的上述第一膜进行干蚀刻,以暴露上述衬底的上述导电部件。
本发明还提供一种制造半导体器件的方法,包含下列步骤:预备具有配线的衬底,该配线暴露于衬底的绝缘表面的部分区域;在上述衬底表面上形成第一膜,该第一膜由加氢的碳化硅制成;在上述第一膜上形成第二膜,该第二膜由蚀刻抗力与碳化硅不同的绝缘材料制成;在上述第二膜上形成第三膜,该第三膜由加氢的碳化硅制成;在上述第三上形成具有第一开口的第一光刻胶膜,当沿平行与衬底表面法线的方向观察时,该第一开口与导电部件的部分区域重叠;通过使用上述第一光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第三膜进行蚀刻,以暴露上述第二膜的部分表面;去除上述第一光刻胶膜;在蚀刻后的上述第三膜表面上形成具有第二开口的第二光刻胶膜,该第二开口包含于第一开口的区域之内并与上述配线部分重叠;通过使用上述第二光刻胶膜作为蚀刻掩模,蚀刻上述第二膜至少达到其中间深度;去除上述第二光刻胶膜;通过使用部分蚀刻后的上述第三膜作为掩模,蚀刻第二膜,以在形成上述第二开口的区域形成到达第一膜的通路孔,并且在形成第一开口而未形成第二开口的区域形成到达第二膜中间深度的配线槽;通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对暴露于上述通路孔的底端的上述第一膜进行干蚀刻,以暴露上述配线;并且用导电部件填埋上述通路孔和上述配线槽内部。
如果使用添加SF6或NF3的碳氟化合物气体的混合气体作为蚀刻气体,由加氢的碳化硅膜制成制成的膜可以被选择性蚀刻。
在上述条件下淀积的碳化硅膜在基于SiO的蚀刻条件下具有较低的蚀刻速度,所以它可以用作蚀刻阻挡层。
如上所述,作为通常所用的SiN的替代品,具有低介电常数的SiC可以被用作硬掩模和蚀刻阻挡膜材料。可以降低布线图案之间的寄生电容,并可以改善半导体集成电路器件运算速度。
附图说明
图1A和1B为衬底的剖面图,用以说明根据本发明第一实施例的半导体器件制造方法,图1C为说明比较例的衬底的剖面图。
图2为本发明实施例方法中的反应离子蚀刻(RIE)***的示意图。
图3A-3D为衬底的剖面图,用以说明根据本发明第二实施例的半导体器件制造方法,图3E为说明比较例的衬底的剖面图。
图4A-4E为衬底的剖面图,该剖面图用以说明根据本发明第三实施例的半导体器件制造方法,图4F说明为比较例的衬底的剖面图。
图5A-5H为衬底的剖面图,用以说明根据本发明第四实施例的半导体器件制造方法。
图6示出了传统SiC膜的FT-IR结果。
图7示出了在四甲基硅烷和CO2之间的不同流量比下形成的SiC膜的FT-IR结果。
图8为四甲基硅烷与CO2之间的流量比与SiC膜的蚀刻速度之间的关系图。
图9为四甲基硅烷与CO2之间的流量比与应力位移之间的关系图。
图10A-10N为衬底的剖面图,用以说明根据本发明第一至第五实施例方法的半导体器件制造方法。
具体实施方式
参照图1A-1C,对根据本发明第一实施例的半导体器件制造方法进行说明。
如图1A所示,在半导体衬底上形成的中间层绝缘膜1的表面层上,埋置铜配线2。铜配线2通过大马士革法形成。厚度为50nm的SiC蚀刻阻止膜3在中间层绝缘膜1和铜配线2上形成。可以使用四甲基硅烷(Si(CH3)4)、氨(NH3)和氮(N2)的混合气通过CVD形成蚀刻阻止膜3。所形成的SiC膜包含Si-H键和C-H键。
由SiLK制成的厚度为500nm的中间层绝缘膜4在蚀刻阻止膜3上形成,该SiLK由Dow化学公司制造。厚度为500nm的SiC硬掩模5在中间层绝缘膜4上形成。通过与形成蚀刻阻止膜3相同的方法形成硬掩模5。在硬掩模5上涂敷光刻胶膜6,该光刻胶膜具有开口6A,当沿平行于衬底表面法线的方向观察时,该开口与配线2部分重叠。
如图1B所示,通过使用光刻胶膜6作为掩模,硬掩模被干蚀刻以形成穿过硬掩模5的开口5A。
图2为用于蚀刻硬掩模5的反应离子蚀刻(RIE)***的结构示意图。在蚀刻室100里,下电极101和上电极电极102通常被平行布置。通过气体入口109将蚀刻气体引入蚀刻室100,而通过气体出口103将未反应蚀刻气体和反应副产品排出。电源106通过阻抗匹配电路107对上电极102施加27MHz的高频电压。偏压电源104通过阻抗匹配电路105对下电极101施加800kHz的高频电压。将待处理的衬底110置于下电极101上。
下面说明硬掩模5的蚀刻条件。所用蚀刻气体为CHF3、NF3、Ar和O2的混合气体,其各自的流量分别为20sccm、10sccm、200sccm和5sccm。蚀刻室100内的压力为6.65Pa(50毫乇),供应上电极102的电源为2000W,供应下电极101的偏压电源为1400W,下电极101的温度为20℃。
在这些蚀刻条件下,开口5A可以贯穿硬掩模5形成。在开口5A形成之后,通过使用光刻胶膜6和硬掩模5作为掩模,蚀刻中间层绝缘膜4,然后对蚀刻阻挡膜3进行蚀刻以形成通路孔。进行这种蚀刻以去除光刻胶膜6。
图1C为说明比较例的衬底的剖面图,在该比较例中,通过使用不含NF3的蚀刻气体蚀刻硬掩模5。所用蚀刻气体为CF4、CHF3、Ar和O2的混合气体,其各自的流量分别为20sccm、30sccm、200sccm和8sccm。蚀刻室100内的压力为5.3Pa(40毫乇),供应上电极102的电源为2500W,供应下电极101的偏压电源为1500W,下电极101的温度为20℃。
在这些蚀刻条件下,SiC膜对光刻胶膜的蚀刻速度比(蚀刻选择比)很小。因此,在开口穿过硬掩模5形成之前先对光刻胶掩模6进行蚀刻。开口不能贯穿硬掩模5形成。
一般而言,CF4促进蚀刻,而CHF3提高待蚀刻膜与光刻胶膜之间的蚀刻选择比。可以理解,虽然所用蚀刻气体在蚀刻SiN膜时可以提供足够的蚀刻选择比,但它们不能在含氢的SiC膜被蚀刻时提供足够的蚀刻选择比。
如上所述,通过将NF3添加入蚀刻气体,可以获得足够的蚀刻选择比,且可以蚀刻含氢的SiC膜。加入蚀刻气体中的Ar被用于离子协助(ion assistance),并且O2具有改善蚀刻清洁的功能。
下面,参照图3A-3D,对根据本发明第二实施例的半导体器件制造方法进行说明。
如图3A所示,将Cu配线12埋入形成于中间层绝缘膜11之上的槽中,该中间层绝缘膜在半导体衬底上形成。可以通过大马士革方法形成铜配线12。厚度为50nm的SiC蚀刻阻止膜13在中间层绝缘膜11和铜配线12上形成。形成蚀刻阻止膜13的方法与形成如图1A和1B所示第一实施例中的形成蚀刻阻止膜3的方法相似。
在蚀刻阻止膜13上,通过等离子增强化学气相淀积形成厚度为1000nm的SiO2中间层绝缘膜14。在中间层绝缘膜14上,通过等离子增强化学气相淀积形成厚度为50nm的SiN抗反射膜15。光刻胶膜16涂敷于抗反射膜15上,当沿平行于衬底表面法线的方向观察时,具有开口16A的光刻胶膜与配线12部分重叠。
如图3B所示,通过使用光刻胶掩模16作为掩模,并通过使用诸如CHF3和O2的混合气对抗反射膜15进行干蚀刻。通过使用如图2所示的RIE***对中间层绝缘膜14进行蚀刻。例如,所用蚀刻气体为C4F8、C5F8、Ar、CO和O2。在这种蚀刻条件下,由于中间层绝缘膜14与蚀刻阻止膜13的蚀刻速度比(蚀刻选择比)很高,当蚀刻阻止膜暴露时蚀刻几乎可以被阻止。由此可以形成在其底端可暴露蚀刻阻止膜13部分表面的通路孔14A。
如图3C所示,阻挡膜16被灰化和去除。在这种情况下,由于配线12表面涂敷有蚀刻阻止膜13,可以防止配线12表面被氧化。
如图3D所示,通过使用图2中所示的RIE***,暴露于通路孔14A底端的蚀刻阻止膜13被干蚀刻。所用蚀刻气体为CHF3、NF3、Ar和O2的混合气体,其各自的流量分别为30sccm、10sccm、200sccm和8sccm。房间100内的压力为6.65Pa(50毫乇),供应上电极102的电源为2000W,供应下电极101的偏压电源为1500W,下电极101的温度为20℃。
配线12的部分表面区域由此而暴露于通路孔14A的底端。在上述蚀刻条件下,形成于中间层绝缘膜14上的SiN抗反射膜15也被蚀刻,并且暴露出中间层绝缘膜14的上表面。
与第一实施例相同,在第二实施例中,由于CHF3和NF3的混合气用于蚀刻,可以确保去除暴露于通孔14A底端的蚀刻阻止膜13。
图3E为比较例中衬底的剖面图,在该比较例中,通过使用不包含NF3的气体进行蚀刻。所用蚀刻气体为CHF3、Ar和O2的混合气体,其各自的流量分别为30sccm、200sccm和8sccm。蚀刻室100内的压力为6.65Pa(50毫乇),供应上电极102的电源为2000W,供应下电极101的偏压电源为1500W,下电极101的温度为20℃。
在这些蚀刻条件下,蚀刻阻止膜13相对于中间层绝缘膜14的蚀刻选择比不足。因此难以确保去除暴露于通孔14A底端的蚀刻阻止膜13,并且临近通路孔14A的中间层绝缘膜14的上面区域被蚀刻。
在第二实施例中,通过将NF3添加入氟碳化合物气体中,可以可靠地去除由含氢SiC制成并暴露于通孔14A底端的蚀刻阻止膜13,并且可以暴露下面的配线。
下面,参照图4A-4E,对根据本发明第三实施例的半导体器件制造方法进行说明。在第一和第二实施例中,通路孔在铜配线上穿过中间层绝缘膜形成。在第三实施例中,形成用于配置键合焊盘的开口。
如图4A所示,铜配线22埋入在中间层绝缘膜21的表面层上形成的槽中,该中间层绝缘膜在半导体衬底上形成。厚度为50nm的SiC蚀刻阻止膜23、厚度为400nm的SiO2保护膜24和厚度为300nm的SiC涂敷膜25在铜配线22和中间层绝缘膜21上依次形成。与形成图1A中所示的第一实施例中的蚀刻阻止膜3相同,蚀刻阻止膜23和涂敷膜25通过等离子增强化学气相淀积形成。与形成图3A中所示的第二实施例中的蚀刻阻挡膜14相同,保护膜24通过等离子增强化学气相淀积形成。
具有开口26A的光刻胶膜26涂敷于涂敷膜25上。当沿平行于衬底表面法线的方向观察时,开口26A包含于配线22的区域之中。
如图4B所示,通过使用光刻胶膜26作为掩模,涂敷膜25被蚀刻以形成凹部27。这种蚀刻在与蚀刻图3D中所示的第二实施例中的蚀刻阻止膜13的相同蚀刻条件下进行。凹部27在深度方向上到达保护膜24的中间位置。
如图4C所示,进一步蚀刻保护膜24,以在凹部27的底端暴露蚀刻阻止膜23。对保护膜24进行蚀刻的条件与图3B中所示第二实施例中中间层绝缘膜14的蚀刻条件相同。
如图4E所示,暴露于凹部27底端的蚀刻阻止膜23被蚀刻。这种蚀刻的条件与图3D中所示第二实施例中蚀刻阻止膜13的蚀刻条件相同。从而使铜配线22暴露于凹部27的底端。形成覆盖涂敷膜25和凹部27内表面的Al膜,然后构图以形成键合焊盘28。
在第三实施例中,也通过使用CHF3和NF3的混合气而实施图4B中对涂敷膜25的蚀刻。因此有可能以好的重现性形成穿过涂敷膜25的凹部27,该涂敷膜由氢化的SiC制成。使用未添加NF3的碳氟化合物气体进行蚀刻则不能获得涂敷膜25与光刻胶膜26间足够高的蚀刻选择比。因此,如图4F所示,光刻胶膜26被减薄,并且凹部27不能穿过涂敷膜25而形成。
在上述第一到第三实施例中,使用了添加NF3的CHF3气体。作为CHF3的替代品,可以使用通式为CxHyFx(x、y和z为满足x≥0,y≥0和z≥0的整数)碳氟化合物气体。作为NF3的替代,可以使用与NF3具有相同属性的SF6
下面,参照图5A-5H,对根据第四实施例的半导体器件制造方法进行说明。
如图5A所示,中间层绝缘膜30在半导体衬底上形成。厚度为500nm的FSG配线层绝缘膜31在中间层绝缘膜30上形成。例如,可以用SiH4、SiF4、N2O和N2作为源气体通过等离子增强化学气相淀积形成配线层绝缘膜31。可以在使用C4F8、C5F8、Ar、CO和O2的混合气的情况下用RIE蚀刻配线层绝缘膜31。可以将SiN蚀刻阻止膜等嵌入中间层绝缘膜30和配线层绝缘膜31之间,以控制配线槽31A的深度。
如图5B所示,厚度为25nm的TaN阻挡金属层32通过溅射形成,该阻挡金属层覆盖配线层绝缘膜31表面和配线槽31A的内表面。厚度为200nm的籽(seed)铜层通过溅射在阻挡金属层32上形成。厚度为1300nm的铜膜33L通过电镀在籽铜层上形成。铜膜33L完全填埋了配线槽31A的内部空间。
如图5C所示,进行化学机械抛光(CMP)以去除位于配线槽31A内之外的不必要的阻挡金属层32和铜膜33L。从而仅留下位于配线槽31A中的铜配线33。CMP在形成凹陷(dishing)以使铜配线33的上表面低于配线层绝缘膜31的条件下进行。
如图5D所示,TaN阻挡金属层34通过溅射形成,该阻挡金属层34覆盖铜配线33和配线层绝缘膜31的表面。设定阻挡金属层34的厚度,以使凹陷形成的铜配线33的凹坑被阻挡金属层34填埋。
如图5E所示,进行二次CMP以去除配线槽31A之外不必要的阻挡金属层34。从而在配线槽31A内形成铜配线33,铜配线的侧壁和上下表面被金属阻挡层32和34包裹。
作为进行二次CMP的替代,还可以进行深蚀刻(etch-back)。一次CMP仅可去除图5B中所示的铜膜33L,而将阻挡金属层32留在配线层绝缘膜31上,并且二次CMP将阻挡金属层32和图5D中所示的阻挡金属层34一齐去除。
如图5F所示,厚度为50nm的SiC蚀刻阻止层41、FSG中间层绝缘膜42和厚度为50nm的SiN抗反射膜43依次在SiN配线层31和铜配线33上形成。形成蚀刻阻止膜41的方法与形成图1A中所示第一实施例中蚀刻阻止膜3的方法相同。形成中间层绝缘膜42的方法与形成下面的配线层绝缘膜31的方法相同。形成抗反射膜43的方法与形成图3A中所示第二实施例中的抗反射膜的方法相同。
如图5G所示,光刻胶膜44在抗反射膜43上形成。与通路孔相对应的开口44A穿过光刻胶膜44形成。当沿平行于衬底表面法线的方向观察时,开口44A位于铜配线33的部分表面区域。通过使用光刻胶掩模44作为蚀刻掩模,将抗反射膜43和中间层绝缘膜42蚀刻到中间层绝缘膜42在深度方向上的中间位置,由此形成通路孔45。然后去光刻胶膜44。
然后,在抗反射膜43上形成光刻胶膜47。与配线槽相对应的开口47A穿过光刻胶膜47而形成。开口47A位置位于通路孔45之上。通过使用光刻胶膜47作为掩模,抗反射膜43和中间层绝缘膜42被蚀刻。从而形成对应于开口47A的配线槽46,并且通路孔45进一步被蚀刻,以在通路孔45的底端暴露出蚀刻阻止膜41的部分表面。
如图5H所示,暴露于通路孔45底端的蚀刻阻止膜41被干蚀刻,以暴露位于其下面的阻挡金属层34。下面说明蚀刻阻止膜41的蚀刻条件。所用蚀刻气体为CHF3、SF6、Ar和O2的混合气,其各自的流量分别为30sccm、10sccm、200sccm和8sccm。蚀刻室100内的压力为6.65Pa(50毫乇),供应上电极102的电源为2000W,供应下电极101的偏压电源为1500W,下电极101的温度为20℃。
在第四实施例中,由于使用添加SF6的CHF3混合气体,基本上可以可靠地蚀刻位于通路孔45底端的蚀刻阻止膜41。如果铜暴露于蚀刻后的表面,为了防止铜的腐蚀,将NF3添加到碳氟化合物气体中比添加SF6更为优选。在第四实施例中,由于铜配线33的上表面被TaN阻挡金属层34覆盖,故可以使用SF6。Ta、Ti或TiN可以作为替代TaN的阻挡金属层材料。
在第一到第四实施例中,通过使用添加SF6或NF3的碳氟化合物混合气体对含氢的SiC膜进行蚀刻。SF6或NF3气体都可以被添加入碳氟化合物气体中。为了保证添加SF6或NF3气体的效果,优选将SF6或NF3的流量和碳氟化合物气体的流量之间的比设定在0.1-0.5的范围内或者更低。
在第一到第四实施例中,虽然CHF3被用作碳氟化合物气体,但也可以使用通式为CxHyFz(x、y和z为满足x≥0,y≥0和z≥0的整数)的气体。这种气体诸如CF4、CH2F2、C4F8、C5F8、C4F6等。
当对含氢的SiC膜进行蚀刻,特别是对氢的原子百分比为20%的SiC膜进行蚀刻时,将NF3或SF6添加到蚀刻气体中会有明显的效果。当SiC膜被用作硬掩模或蚀刻阻止膜时,优选将氢的原子百分比设定为50%或者更低。
在上述实施例中,采用SiLK(Dow化学公司)、SiO2或FSG作为中间层绝缘膜材料。也可以采用蚀刻抗力与SiC材料不同的其它绝缘材料。比如,中间层绝缘膜可以采用下列膜:由磷硅酸盐玻璃(PSG)制成的膜、硼磷硅酸盐玻璃(BPSG)膜、氢倍半硅氧烷(HSQ)膜、四乙基原硅酸盐(tetraethylorthosilicate)(TEOS)的淀积膜、由在玻璃上旋涂(spin-on-glass)制成的膜、含碳的氧化硅膜、含硅的发泡多孔膜、有机材料绝缘膜等。有机绝缘膜的例子聚芳基醚,即联信公司的FLARE。
在上述实施例中,虽然使用了平行板RIE***进行干蚀刻,但也可以使用诸如电子回旋共振等离子(ECR等离子)蚀刻***、电感耦合等离子(ICP)蚀刻***和固定壁离心分离(helicon)等离子蚀刻***等的其它蚀刻***。
在上述实施例中,Si(CH3)4、NH3和N2被用作源气体通过等离子增强化学气相淀积形成SiC膜。但也可以使用其它气体。例如可以使用Si(CH3)3H、NH3和He的混合气体。由这些源气体制成的SiC膜由于Applied Materials公司的商品名BLOK而被人们所熟悉。
下面,参照图6-9,对根据第五实施例的半导体制造方法进行说明。在第一到第四实施例中,半导体器件制造方法的特征在于蚀刻含氢的SiC膜的工艺。第五实施例的特征在形成SiC膜的方法。
当通路孔14A穿过上层中间层绝缘膜14形成时,图3B中所示的第二实施例中的SiC蚀刻阻止膜13具有蚀刻阻止膜的功能。因此,在中间层绝缘膜14的蚀刻条件下,蚀刻阻止膜13的蚀刻速度必须充分地足够低于中间层绝缘膜14的蚀刻速度。
SiO2膜对用作传统蚀刻阻止膜的SiN膜的蚀刻选择比约为9.5。众所周知,SiO2膜对SiC膜的蚀刻选择比降低到约为7。蚀刻选择比,特别是在使用位于通路孔底端的蚀刻阻止膜时的蚀刻选择比,明显降低。在通路孔底端,FSG膜与SiN膜的蚀刻选择比约为28,而SiO2膜与SiC膜的蚀刻选择比约为17。可以将在通路孔底端蚀刻被阻止时的选择比的大幅度降低归因于,在通路孔底端的蚀刻更多地受控于化学反应而不是溅射。
图6为SiC膜的傅里叶变换红外(FT-IR)光谱,该SiC膜在SiO2或FSG的蚀刻条件下具有相对较低的蚀刻速度。横坐标代表波数,单位为cm-1,纵坐标代表吸收率。可以看出,不但出现了Si-C键产生的峰,而且出现了Si-OCH键产生的峰。Si-OCH键产生的峰比Si-C键产生的峰更强。可以认为由于Si-C膜包含较多的Si-OCH键,在SiO蚀刻条件下的SiC膜的蚀刻速度变快。
图7为在不同膜形成条件下形成的五片SiC膜的FT-IR光谱结果。该Si-C膜通过使用四甲基硅烷和CO2作为源气体形成。附于图7中所示曲线的数值代表四甲基硅烷与CO2的流量比。
当流量比变大(四甲基硅烷对CO2的流量比变大)时,Si-C键产生的峰变高。当流量比变小时,Si-OCH键产生的峰变大。由此可知,较多的氧气和氢气被捕获进入各SiC膜中。
图8为形成SiC膜时四甲基硅烷和CO2间的流量比与各SiC膜的蚀刻速度之间的关系。横坐标代表四甲基硅烷和CO2间的流量比,纵坐标代表蚀刻速度,单位为“nm/min”。所用蚀刻条件如下所述。
C4F8的流量为8sccm,C5F8的流量为3sccm,Ar的流量为320sccm,CO的流量为190sccm,O2的流量为8sccm。压力为4Pa(30毫乇),电源为1750W,偏压电源为1400W,下电极101的温度为20℃。
如果流量比高于0.2,蚀刻速度几乎不受流量影响,并分布于30nm/min附近。可以看出在低于0.2的范围内时蚀刻速度变快。因此,如果SiC膜被用作蚀刻阻止膜,优选将源气体间的流量比设定为0.2或更高。
图9为形成SiC膜时四甲基硅烷和CO2间的流量比与应力位移之间的关系。横坐标代表四甲基硅烷和CO2间的流量比,纵坐标代表应力位移,单位为“MPa/cm2”。应力位移用形成膜10-12天后各衬底的弯曲来进行测量。可以理解,当流量比变大时,应力位移沿负方向变大。可以发现,应力位移绝对值较大的试样尤其位于大于0.6的流量比的范围内。较大的应力位移意味着SiC膜质量不稳定。因此优选将流量比设定为小于0.6。
从上述研究中可以看出,如果在对SiO2膜进行蚀刻的条件下蚀刻速度较小,并且如果要获得具有稳定性质的SiC膜,优选将四甲基硅烷与CO2的流量比设定在0.2-0.6的范围内,更优选在0.3-0.5的范围内。
下面,参照图10A-10N,结合第一到第五实施例的半导体制造方法,对使用大马士革方法制造半导体器件的方法进行说明。
如图10A所示,硅衬底51在其表面上具有元件隔离绝缘区域52。元件隔离绝缘区域52通过硅局部氧化(LOCOS)或者浅的沟槽隔离(STI)而形成。被元件隔离绝缘区域52环绕的活性区具有包含门电极53G、源区53S和漏区53D的金属氧化物半导体场效应晶体管(MOSFET)。门电极53G的上表面具有SiO2上绝缘膜53I。门电极53G的侧壁和上绝缘膜53I具有侧壁隔片53W。MOSFET53可以通过重复公知的光刻法、蚀刻法和离子注入法等形成。
在衬底51的表面上,形成由SiC制成的蚀刻阻止膜57覆盖MOSFET53。蚀刻阻止膜57在如第五实施例中所述的优选膜形成条件下形成。在该蚀刻阻止膜57上,厚度为500nm的磷硅酸盐玻璃(PSG)中间层绝缘膜60通过化学气相淀积(CVD)和CMP而形成。
下面说明图10B中所示的工艺。光刻胶掩模61在中间层绝缘膜60的表面上形成。开口在对应于源区53G和漏区53D的区域中穿过光刻胶膜61形成。通过使用光刻胶膜61作为掩模,对中间层绝缘膜60进行蚀刻,以在对应源区域53G和漏区53D的区域中形成接触孔62S和62D。这种蚀刻在蚀刻阻止膜57处停止。然后将光刻胶膜61去除。
在图10B中所示的例子中,当沿平行于衬底法线的方向观察时,接触孔62D与门电极53G部分重叠。
如图10C所示,暴露于接触孔62S和62D底端的蚀刻阻止膜57被去除。这种蚀刻在第二实施例中所述的优选蚀刻条件下进行。从而暴露源区53G和漏区53D的部分区域。由于上绝缘膜53I置于门电极53G之上,所以没有暴露门电极53G。
如图10D所示,形成厚度为30nm的阻挡金属层覆盖62S和62D的内表面以及蚀刻阻止膜57的上表面。例如,阻挡金属层63由Ti、TiN和TaN制成。在阻挡金属层的表面上形成钨(W)层,该钨层的厚度使得钨层足以填埋接触孔62S和62D的内部。例如,阻挡金属层和W层通过CVD形成。
进行CMP直到中间层绝缘膜60暴露,以去除不必要的阻挡金属层和W层。从而使由阻挡金属层63和W层制成的导电塞64留在接触孔62S和62D中。
如图10E所示,在中间层绝缘膜60上形成厚度为50nm的SiC蚀刻阻止膜69。在该蚀刻阻止膜69上形成厚度为250nm的第一配线层绝缘膜70。例如,第一配线层绝缘膜70由FSG制成。
在第一配线层绝缘膜70上,厚度为150nm的SiO2覆盖膜(capfilm)71通过等离子增强化学气相淀积形成。在覆盖膜上,形成光刻胶图案74。光刻胶图案74具有开口76,该开口贯穿其中,并与第一配线层绝缘膜70中的配线相对应。开口76通过通常的光刻法形成。
如图10F所示,通过使用光刻胶图案74作为掩模,对盖层71和第一配线层绝缘膜70进行蚀刻。使用C4F8、C5F8、Ar、CO和O2的混合气作为蚀刻气体通过RIE对盖层71和第一配线层膜70进行蚀刻。从而使与光刻胶图案74的开口76相对应的配线槽75穿过第一配线层绝缘膜70而形成。在配线槽75形成之后,去除光刻胶图案74。然后,暴露于配线槽75底端的蚀刻阻止膜69被去除。
如图10G所示,导电塞64的上表面暴露于相应配线槽75的底端。形成厚度为25nm的阻挡金属层72L,覆盖配线槽75的内表面和覆盖膜71的上表面。阻挡金属层72L通过溅射由TiN或TaN制成。铜导电层73L在阻挡层72L的表面上形成。导电层73L通过用Cu籽层(seedlayer)涂敷阻挡金属层72L而形成,其厚度足以使其填埋配线槽75的内部。
如图10H所示,进行CMP直到覆盖膜71被暴露。从而覆盖配线槽75内表面的阻挡金属层72和填埋配线槽75内部的Cu配线73被留在配线槽内。
如图10I所示,在覆盖膜71上,依次淀积厚度为50nm的SiC扩散阻挡膜80、厚度为800nm的FSG中间层绝缘膜81、厚度为100nm的SiO2覆盖膜85和厚度为50nm的SiC硬掩模。
例如,扩散阻挡膜80在第五实施例中所述的优选膜形成条件下形成。并且形成硬掩模86的条件与图1A中所示第一实施例中形成硬掩模5的条件相同。
如图10J所示,对掩模86进行构图以形成开口87。开口87与在配线层绝缘膜81中形成的布线图案相对应。在与图1B所示第一实施例中蚀刻硬掩模5相同的条件下对硬掩模86进行构图。
如图10K所示,在覆盖膜85上形成光刻胶图案90,该覆盖膜85暴露于开口87的底端和硬掩模86上。光刻胶图案90具有开口91,该开口91与穿过中间层绝缘膜81而形成的通路孔相对应。当沿平行于衬底表面法线的方向观察时,开口91包含于穿过硬掩模形成的开口87之中。通过使用光刻胶图案90作为掩模,对覆盖膜85进行蚀刻,并将中间层绝缘膜81蚀刻到其中间深度以形成通路孔92。
在通路孔92形成之后,灰化和去除光刻胶图案90。
如图10L所示,通过使用硬掩模86作为蚀刻掩模,从中间层绝缘膜81的上表面对其进行蚀刻,达到其中间深度,以形成配线槽93。此时,进一步对通路孔92的底端进行蚀刻,并最终形成穿过中间绝缘膜81的通路孔92。使用C4F8、C5F8、Ar、CO和O2的混合气体作为蚀刻气体通过RIE进行这种蚀刻。
如图10M所示,对暴露于通路孔92底端的硬掩模86和扩散阻挡膜80进行蚀刻。这种蚀刻的条件与图3D中所示第二实施中蚀刻阻止膜13的蚀刻条件相似。
如图10N所示,通路孔92的内表面和配线槽93被阻挡金属层150覆盖,并且通路孔和配线槽93的内部被Cu配线填埋。阻挡金属层150和Cu配线151的形成条件与在第一配线层绝缘膜中形成阻挡金属层72和Cu配线73的条件相同。
综上所述,SiC膜可以被用作硬掩模或蚀刻阻止膜。与传统所用SiN相比,可以减少布线图案间的寄生电容,使高速运算的半导体集成电路器件变为可能。
根据优选实施例对本发明进行了说明。本发明并不仅限于上述实施例。很明显,本领域技术人员可以进行不同的修改、改善和组合等。

Claims (12)

1.一种制造半导体器件的方法,包含下列步骤:
在半导体衬底上形成第一膜,该第一膜由蚀刻抗力与碳化硅不同的材料制成;
在上述第一膜上形成第二膜,该第二膜由加氢的碳化硅制成;
在上述第二膜上形成具有开口的光刻胶膜;
通过使用上述光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第二膜进行干蚀刻;并且
使用上述第二膜作为掩模对上述第一膜进行蚀刻。
2.如权利要求1所述的方法,其中,通过化学气相淀积形成上述碳化硅制成的第二膜,该化学气相淀积使用四甲基硅烷和二氧化碳作为源气体,并将四甲基硅烷与二氧化碳的流量比设定为0.2-0.6。
3.如权利要求2所述的方法,其中上述第一膜由氟硅酸盐玻璃制成。
4.一种制造半导体器件的方法,包含下列步骤:
预备具有导电区的衬底,该导电区暴露于衬底的绝缘表面的部分区域;
在上述衬底的表面上形成第一膜,该第一膜由加氢的碳化硅制成;
在上述第一膜上形成由绝缘材料制成的第二膜;
在上述第二膜上形成具有开口的光刻胶膜;
通过使用上述光刻胶膜作为蚀刻掩模对上述第二膜进行蚀刻,以形成凹部,并将上述第一膜的部分表面区域暴露于该凹部的底端;
灰化并去除上述光刻胶膜;
通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,干蚀刻暴露于凹部底端的上述第一膜,以暴露上述衬底的导电区;并且
将导电部件填埋入上述凹部。
5.如权利要求4所述的方法,其中,暴露于上述衬底的绝缘表面的部分区域的上述导电区为铜配线。
6.如权利要求5所述的方法,其中,上述铜配线的上表面被由选自Ta、TaN、Ti和TiN的材料制成的阻挡金属层覆盖。
7.如权利要求4所述的方法,其中,上述第二膜选自氧化硅的膜、磷硅酸盐玻璃的膜、硼磷硅酸盐玻璃的膜、氟硅酸盐玻璃的膜、氢倍半硅氧烷的膜、以四乙基原硅酸盐为源而淀积的膜、在玻璃上旋涂形成的膜、含碳的二氧化硅膜、含硅的发泡多孔膜和有机材料的绝缘膜。
8.如权利要求4所述的方法,其中,在形成上述第一膜的步骤中,通过化学气相淀积形成上述第一膜,该化学气相淀积使用四甲基硅烷和二氧化碳作为源气体,并将四甲基硅烷与二氧化碳的流量比设定为0.2-0.6。
9.一种制造半导体器件的方法,包含下列步骤:
预备具有导电部件的衬底,该导电部件暴露于上述衬底的绝缘表面的部分区域;
在上述衬底的表面上形成第一膜,该第一膜由加氢的碳化硅制成;
在上述第一膜上形成第二膜,该第二膜由蚀刻抗力与碳化硅不同的绝缘材料制成;
在上述第二膜上形成第三膜,该第三膜由加氢的碳化硅制成;
在上述第三膜上形成具有开口的光刻胶膜,当沿平行于衬底表面法线的方向观察时,该开口与上述导电部件的部分区域重叠;
通过使用上述光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第三膜进行蚀刻;
通过在对第二膜的蚀刻速度大于对第一膜的蚀刻速度的条件下,使用上述光刻胶膜作为蚀刻掩模对上述第二膜进行干蚀刻,以形成凹部,并在上述凹部的底端暴露第一膜的部分表面区域;
灰化并去除上述光刻胶膜;并且
通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对暴露于上述凹部的底端的上述第一膜进行干蚀刻,以暴露上述衬底的上述导电部件。
10.如权利要求9所述的方法,其中,在形成上述第一膜的步骤中,通过化学气相淀积形成第一膜,该化学气相淀积使用四甲基硅烷和二氧化碳作为源气体,并将四甲基硅烷与二氧化碳的流量比设定为0.2-0.6。
11.一种制造半导体器件的方法,包含下列步骤:
预备具有配线的衬底,该配线暴露于衬底的绝缘表面的部分区域;
在上述衬底表面上形成第一膜,该第一膜由加氢的碳化硅制成;
在上述第一膜上形成第二膜,该第二膜由蚀刻抗力与碳化硅不同的绝缘材料制成;
在上述第二膜上形成第三膜,该第三膜由加氢的碳化硅制成;
在上述第三上形成具有第一开口的第一光刻胶膜,当沿平行与衬底表面法线的方向观察时,该第一开口与导电部件的部分区域重叠;
通过使用上述第一光刻胶膜作为蚀刻掩模,并使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对上述第三膜进行蚀刻,以暴露上述第二膜的部分表面;
去除上述第一光刻胶膜;
在蚀刻后的上述第三膜表面上形成具有第二开口的第二光刻胶膜,该第二开口包含于第一开口的区域之内并与上述配线部分重叠;
通过使用上述第二光刻胶膜作为蚀刻掩模,蚀刻上述第二膜至少达到其中间深度;
去除上述第二光刻胶膜;
通过使用部分蚀刻后的上述第三膜作为掩模,蚀刻上述第二膜,以在形成上述第二开口的区域形成到达上述第一膜的通路孔,并且在形成第一开口而未形成第二开口的区域形成到达第二膜中间深度的配线槽;
通过使用添加SF6和NF3中的至少一个的碳氟化合物气体的混合气体,对暴露于上述通路孔的底端的上述第一膜进行干蚀刻,以暴露上述配线;并且
用导电部件填埋上述通路孔和上述配线槽内部。
12.如权利要求11所示的方法,其中,在形成上述第一膜的步骤中,通过化学气相淀积形成上述第一膜,该化学气相淀积使用四甲基硅烷和二氧化碳作为源气体,并将四甲基硅烷与二氧化碳的流量比设定为0.2-0.6。
CNB021062994A 2001-10-10 2002-04-10 制造具有碳化硅膜的半导体器件的方法 Expired - Fee Related CN1222030C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP312883/2001 2001-10-10
JP2001312883A JP2003124189A (ja) 2001-10-10 2001-10-10 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
CN1411050A CN1411050A (zh) 2003-04-16
CN1222030C true CN1222030C (zh) 2005-10-05

Family

ID=19131463

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021062994A Expired - Fee Related CN1222030C (zh) 2001-10-10 2002-04-10 制造具有碳化硅膜的半导体器件的方法

Country Status (6)

Country Link
US (1) US20030068582A1 (zh)
EP (1) EP1302981A3 (zh)
JP (1) JP2003124189A (zh)
KR (1) KR20030030838A (zh)
CN (1) CN1222030C (zh)
TW (1) TW522519B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
JP4057972B2 (ja) 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
JP3866694B2 (ja) * 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
KR100529676B1 (ko) 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
CN100533663C (zh) * 2004-03-18 2009-08-26 克里公司 减少堆垛层错成核位置的光刻方法和具有减少的堆垛层错位置的结构
US7173285B2 (en) * 2004-03-18 2007-02-06 Cree, Inc. Lithographic methods to reduce stacking fault nucleation sites
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
JP4615290B2 (ja) * 2004-11-16 2011-01-19 東京エレクトロン株式会社 プラズマエッチング方法
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US20070218699A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US7375021B2 (en) * 2006-04-04 2008-05-20 International Business Machines Corporation Method and structure for eliminating aluminum terminal pad material in semiconductor devices
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100533678C (zh) * 2006-11-09 2009-08-26 厦门大学 减少ICP刻蚀SiC表面损伤的方法
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
CN101231968B (zh) * 2007-01-26 2010-11-17 联华电子股份有限公司 镶嵌内连线结构与双镶嵌工艺
KR100924545B1 (ko) * 2007-03-15 2009-11-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100862315B1 (ko) 2007-03-23 2008-10-13 주식회사 하이닉스반도체 마스크 리워크 방법
CN101157569B (zh) * 2007-09-03 2010-06-02 中国电子科技集团公司第十三研究所 一种无损腐蚀碳化硅的方法
JP6249815B2 (ja) 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
JP6310816B2 (ja) * 2014-08-26 2018-04-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102481037B1 (ko) 2014-10-01 2022-12-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 배선층 및 그 제작 방법
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2364989C3 (de) * 1973-12-28 1979-10-18 Consortium Fuer Elektrochemische Industrie Gmbh, 8000 Muenchen Verfahren zur Herstellung von Schichten aus Siliciumcarbid auf einem Siliciumsubstrat
JPS58141377A (ja) * 1982-02-16 1983-08-22 Seiko Epson Corp プラズマコ−テイング法
JP3229002B2 (ja) * 1992-04-24 2001-11-12 キヤノン株式会社 電子写真用光受容部材
US5433823A (en) * 1993-09-30 1995-07-18 Cain; John L. Selective dry-etching of bi-layer passivation films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287959B1 (en) * 1998-04-23 2001-09-11 Advanced Micro Devices, Inc. Deep submicron metallization using deep UV photoresist
AU4277700A (en) * 1999-05-03 2000-11-17 Dow Corning Corporation Method for removal of sic
US6261157B1 (en) * 1999-05-25 2001-07-17 Applied Materials, Inc. Selective damascene chemical mechanical polishing
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6730597B1 (en) * 2000-08-03 2004-05-04 Texas Instruments Incorporated Pre-ECD wet surface modification to improve wettability and reduced void defect
US6362094B1 (en) * 2000-08-16 2002-03-26 Agere Systems Guardian Corp. Hydrogenated silicon carbide as a liner for self-aligning contact vias
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6717194B2 (en) * 2001-10-30 2004-04-06 Micron Technology, Inc. Magneto-resistive bit structure and method of manufacture therefor

Also Published As

Publication number Publication date
US20030068582A1 (en) 2003-04-10
JP2003124189A (ja) 2003-04-25
EP1302981A2 (en) 2003-04-16
TW522519B (en) 2003-03-01
EP1302981A3 (en) 2004-06-02
CN1411050A (zh) 2003-04-16
KR20030030838A (ko) 2003-04-18

Similar Documents

Publication Publication Date Title
CN1222030C (zh) 制造具有碳化硅膜的半导体器件的方法
CN1144286C (zh) 半导体器件及制造该半导体器件的方法
CN1293622C (zh) 半导体器件及其制造方法
CN1230878C (zh) 半导体装置及其制备方法
CN1446374A (zh) 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
CN1638091A (zh) 预防双重金属镶嵌结构的金属漏电的氮化物阻障层
CN1210799C (zh) 半导体器件及其制造方法
CN1618121A (zh) 用于选择性地蚀刻电介质层的工艺
CN1643651A (zh) 多室基材处理***中执行的整合原位蚀刻制程
CN1614764A (zh) 半导体器件的制造方法
CN1275315C (zh) 镶嵌式金属内连线的制造方法及介电层的修复方法
CN1773690A (zh) 半导体结构及其制造方法
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN101030566A (zh) 半导体结构及其形成方法
CN1700472A (zh) 集成电路以及形成用于晶体管栅电极的隔离层的方法
CN1049069C (zh) 半导体器件中多层互连的形成方法
JP2004088047A (ja) 半導体装置の製造方法
CN1790666A (zh) 半导体装置及内连线的制造方法
CN101045820A (zh) 形成绝缘膜的组合物以及制造半导体器件的方法
CN1126156C (zh) 半导体器件及其制造方法
CN1797747A (zh) 具有紫外光保护层的半导体元件及其制造方法
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
CN1819181A (zh) 半导体装置及其制造方法
CN1735964A (zh) 半导体装置
CN1661799A (zh) 半导体器件

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee