CN111094620A - 用于在衬底选择侧上沉积的pecvd沉积*** - Google Patents

用于在衬底选择侧上沉积的pecvd沉积*** Download PDF

Info

Publication number
CN111094620A
CN111094620A CN201880055786.3A CN201880055786A CN111094620A CN 111094620 A CN111094620 A CN 111094620A CN 201880055786 A CN201880055786 A CN 201880055786A CN 111094620 A CN111094620 A CN 111094620A
Authority
CN
China
Prior art keywords
substrate
shower
showerhead
gas
pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880055786.3A
Other languages
English (en)
Other versions
CN111094620B (zh
Inventor
法亚兹·谢赫
尼克·林佰格
柯蒂斯·贝利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202210991402.7A priority Critical patent/CN115613010A/zh
Publication of CN111094620A publication Critical patent/CN111094620A/zh
Application granted granted Critical
Publication of CN111094620B publication Critical patent/CN111094620B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了一种等离子体处理***。该***包含室、控制器、及设置于该室中的喷淋头。第一气体歧管连接至该喷淋头以用于响应来自该控制器的控制而从第一气体源提供第一气体。喷淋器‑基座设置于该室中并定位于该喷淋头的对侧。第二气体歧管连接至该喷淋器‑基座以用于响应来自该控制器的控制而从第二气体源提供第二气体。提供用于以与该喷淋器‑基座成间隔开的关系保持衬底的衬底支撑件。提供用于将功率提供给该喷淋头以产生等离子体的射频(RF)电源。等离子体用于在该衬底存在于该室中时在该衬底的背侧上沉积膜。在背侧沉积期间,该衬底由该衬底支撑件以与该喷淋器‑基座成间隔开的关系保持。该喷淋头在背侧沉积期间提供清扫气体。

Description

用于在衬底选择侧上沉积的PECVD沉积***
技术领域
本实施方案涉及半导体晶片处理设备工具,具体而言,涉及具有基座构造的室,其使得能进行背侧沉积以在顶侧上的较多层形成时抵消晶片的弯曲。
背景技术
等离子体增强化学气相沉积(PECVD)是用于在诸如晶片之类的衬底上沉积从气态(即蒸汽)到固态的薄膜的等离子体沉积的一种类型。PECVD***将液态前体转化成输送给室的蒸汽前体。PECVD***可包括以受控方式蒸发液态前体从而产生蒸汽前体的汽化器。通常,用于PECVD的室在处理期间使用陶瓷基座来支撑晶片,这使处理能够在高温下进行。
通常,大多数的沉积及用以形成装置的其他处理发生于晶片的正面(例如,顶侧)上。随着所沉积的层累积,其可能在晶片中引入应力。该应力会导致晶片弯曲,其是不希望有的。在弯曲显著的情况下,其可能对后续的处理步骤产生不利影响。
有时,晶片背侧上的沉积材料可抵消晶片的弯曲及应力。然而,为了沉积于晶片背侧上,必须翻转晶片并将其装载为背侧朝上。翻转晶片引入了额外的问题,例如额外的搬运、潜在的颗粒暴露以及/或者处理收率的降低。
发明就是在该背景下产生。
发明内容
本公开的实施方案提供用于背侧衬底沉积的实现方式,以在顶侧层沉积期间抵消晶片翘曲。本文所公开的***及方法包含在等离子体处理室中定位于喷淋头对侧的喷淋器-基座。喷淋器-基座被配置为由多个孔供应处理气体,从而使得能输送处理气体以用于在衬底背侧上沉积材料。在一实施方案中,该衬底被配置为由与喷淋器-基座相间隔的载送环保持,使得衬底背侧暴露于沉积气体。在一实施方案中,该喷淋头被配置为供应清扫气体(例如,惰性气体),以避免背侧沉积气体在衬底顶侧上形成材料层。
在一实施方案中,公开了一种等离子体处理***。该***包含室、控制器、及设置于该室中的喷淋头。第一气体歧管连接至该喷淋头以用于响应来自该控制器的控制而从第一气体源提供第一气体。喷淋器-基座设置于该室中并定位于该喷淋头的对侧。第二气体歧管连接至该喷淋器-基座以用于响应来自该控制器的控制而从第二气体源提供第二气体。提供用于以与该喷淋器-基座成间隔开的关系保持衬底的衬底支撑件。提供用于将功率提供给该喷淋头以产生等离子体的射频(RF)电源。等离子体用于在该衬底存在于该室中时在该衬底的背侧上沉积膜。在背侧沉积期间,该衬底由该衬底支撑件以与该喷淋器-基座成间隔开的关系保持。该喷淋头在背侧沉积期间提供清扫气体。
在另一实施方案中,提供一种用于在等离子体处理***中处理衬底的方法,该等离子体处理***具有喷淋头及定位于该喷淋头下方的喷淋器-基座。该方法包含在该喷淋头与该喷淋器-基座之间设置载送环。该载送环被配置为支撑该衬底。接着,使处理气体以朝向该衬底的背侧的方向流出该喷淋器-基座。该***将功率施加至该等离子体处理***的电极。该功率被配置为在该喷淋器-基座的顶表面与该衬底的该背侧之间的区域中产生等离子体。该等离子体被配置为由该处理气体产生沉积于该衬底的该背侧上的材料层。该方法还包含使惰性气体以朝向该衬底的顶侧的方向流出该喷淋头。该惰性气体的流动被配置为在该处理气体流动时进行。使用该惰性气体将该处理气体从该衬底的该顶侧清扫,以避免在所述顶侧上的沉积,同时该材料层被沉积于该衬底的该背侧上。
附图说明
图1A和1B示出了根据一些实施方案的可以被配置用于背侧晶片沉积的衬底处理***。
图2示出了根据一实施方案的多站处理工具的俯视图,其中提供了四个处理站。
图3示出了根据一实施方案的具有入站装载锁和出站装载锁的多站处理工具的实施方案的示意图。
图4A提供了当衬底128被抬升离喷淋器-基座的顶表面一定间隔距离时喷淋器-基座的边缘的截面图。
图4B根据一实施方案显示在衬底的背侧沉积期间用以支撑载送环的间隔件的俯视图。
图5A及5B根据一实施方案显示了室图,其显示当在衬底上执行背侧沉积时位于一定间隔距离处支撑载送环的间隔件。
图6A及6B根据一实施方案显示了室图,其显示当在衬底上执行背侧沉积时用以于一定间隔距离处支撑载送环的蜘蛛式叉。
图7A-7C根据一实施方案显示了喷淋器-基座的俯视图,其具有所述好的(thefine)整个图案的喷嘴同心环,并显示出可针对不同半径区及不同分隔而限定各种区域,该等半径区域包括中心半径区、中间半径区、外侧半径区。
图8示出了根据一实施方案的用于控制***的控制模块。
具体实施方案
本公开的实施方案提供处理室的实施方案,其用于处理半导体晶片。在一实现方式中,室被配置有基座构造,该基座构造能实现背侧沉积以在较多层形成于衬底的顶侧上时抵消晶片的弯曲及/或应力。
在此应用中,用语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”及“部分制成的集成电路”可互换地使用。本领域技术人员应理解:术语“部分制成的集成电路”可指在其上进行的集成电路制造的许多阶段中的任一者期间的硅晶片。用于半导体设备行业中的晶片或衬底通常具有200mm或300mm的直径,尽管该产业正朝着采用直径450mm的衬底的方向发展。本文的叙述使用术语“前”与“背”来描述晶片衬底的不同侧。应理解,前侧为大多数的沉积与处理发生处、且为半导体设备本身被制造之处。背侧为晶片的相反侧,其在制造期间通常经历最小程度的处理或不经处理。
除非另外说明,否则本文所提供的流率及功率水平适用于300mm衬底上的处理。本领域技术人员应理解,对于其他尺寸的衬底必要时可调整这些流率及功率水平。以下的详细叙述假设在晶片上实施本发明。然而,本发明并非如此受限。工件可为各种外形、尺寸、及材料。除了半导体晶片之外,可利用本发明的其他工件包含各种物件,例如印刷电路板等。
用以制造先进内存及逻辑芯片的多阶半导体处理流程已导致衬底在压缩及拉伸方向上明显翘曲。由于此类中度至严重的衬底翘曲,各种制造工艺的处理条件受到影响,导致工艺控制问题、光刻夹持和覆盖问题,其有时导致收率损失的增加。在一实施方案中,用于控制翘曲的一种方式为在衬底的相反侧(亦即,背侧)上沉积牺牲膜或多个膜,以补偿相反方向上的翘曲,其使得衬底平坦化。传统的双电极RF PECVD***具有一个气流电极,其可为RF或接地的。通常,气流电极(也称为喷淋头104)在PECVD反应器的顶侧上,使得反应物在晶片的前侧上流动,从而使得仅在晶片的前侧上沉积。
根据一实施方案,公开了一种具有双重气流电极的RF PECVD***。这些电极中的任一者可为RF电极以提供AC场,其使得能对CVD膜沉积进行等离子体增强。该双重气流电极PECVD***能够将膜选择性地沉积在晶片的两侧或仅一侧上。在一示例中,气流基座(本文称为“喷淋器-基座”或“喷淋座”)可保持晶片,以基于设备设定而经由标准转移机构在室内的相邻站之间或室外传送,然而能够使气体从晶片的背侧流动。
在一实施方案中,背侧气体流动使得能在晶片背侧上进行PECVD沉积,同时前侧气体流动可沉积于晶片前侧上。***可被设置为通过打开与关闭导致膜沉积的反应物、及利用非反应气体(例如,惰性气体)来置换反应物,而能选择性地对所述侧进行沉积。该***的另一方面为能够控制衬底侧与反应物流动气体的距离。该控制能实现诸如背侧补偿之类的应用所需的沉积轮廓及膜特性。
在另一实施方案中,喷淋座及喷淋头包含提供类似喷淋头特征的构造,其实现适当的反应物混合、且能够为晶片背侧或前侧上的PECVD沉积处理提供适当的流动动力学。此外,有些实施方案能实现可控间隙,其可抑制或容许在晶片的期望侧(一侧或两侧)上进行沉积的等离子体。受控制的间隙可包含,例如晶片顶侧与喷淋头104的顶表面之间的间隙间距、以及晶片背侧与喷淋座106的顶表面之间的间隙间距。例如,当正在沉积晶片背侧时,使晶片顶侧与喷淋头的顶表面之间的间隙最小化。举例而言,此间距介于约2mm至约0.5mm之间,而在另一实施方案中介于约1mm至约0.5mm之间(取决于晶片弯曲限制)。
喷淋座106进一步配置为包含喷淋头孔图案及内充气部,以用于气体的均匀分布(亦即,以容许处理气体被朝向晶片底部输送)。这些实施方案也容许气流基座(亦即喷淋座)具有有源加热器,以使处理气体达到适当温度。喷淋座106与喷淋头104的组合容许两关键属性的并行功能。在一实施方案中,喷淋座106仍可加热晶片、并在反应器室内或反应器外提供晶片传送特征,同时喷淋头104部件容许处理气体的流动。因此,本文所公开的气流基座(亦即喷淋座)使得传统的PECVD处理的实现方式能够选择性地在晶片的任一侧上沉积。这些构造还被配置为选择性地为顶部或底部的电极进行RF供电,并动态地启动/停止晶片的需要沉积的侧上的等离子体。
广泛而言,喷淋座通过在晶片背侧上沉积膜而提供对抗应力及弯曲问题的若干优点。背侧膜抵消来自前侧沉积的应力以促成中性应力(或实质上中性的应力,例如,低于约+/-150MPa)的晶片,其不显现弯曲(或实质上无弯曲,例如,低于约150μm的弯曲)。如果沉积于前侧上的膜是拉伸的,则背侧膜也应为拉伸的以平衡总应力。同样,如果前侧膜是压缩的,则背侧膜也应为压缩的。可通过许多反应机构来沉积背侧膜(例如,化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、低压化学气相沉积(LPCVD)等)。在许多情况下,使用等离子体增强化学气相沉积,因为在此类型的反应中实现高沉积速率。
可调整某些沉积参数以产生具有期望的应力水平的背侧膜。这些沉积参数中的一者是所沉积的背侧的膜的厚度。较厚的膜在晶片中引起较大的应力,而较薄的膜(相同组成且在相同条件下沉积)在晶片中引起较小的应力。因此,为了使形成背侧层时所消耗的材料量最小化,可在促进形成高应力膜的条件下相对薄地沉积该层。
如上所述,沉积材料的堆叠件特别可能导致晶片应力及弯曲。可能造成这些问题的一种示例性堆叠件为具有交替的氧化物及氮化物层的堆叠件(例如,硅氧化物/硅氮化物/硅氧化物/硅氮化物等)。可能造成弯曲现象的另一示例堆叠件包含交替的氧化物及多晶硅层(例如,硅氧化物/多晶硅/硅氧化物/多晶硅等)。可能存在问题的堆叠件材料的其他示例包含但不限于钨及氮化钛。可通过下列技术来沉积堆叠件中的材料:化学气相沉积技术(例如等离子体增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD))、或通过直接金属沉积(DMD)等。这些示例并非意指作为限制性的。某些公开实施方案在因存在于晶片前侧上的材料而引起晶片应力及/或弯曲现象的任何时候可能是有用的。
可将前侧的堆叠件沉积成任何数目的膜层及厚度。在典型示例中,堆叠件包含约32至72层,且具有介于约2至4μm之间的总厚度。由堆叠件在晶片中引起的应力可为介于约-500MPa至约+500MPa之间,其造成通常介于约200至400μm之间的弯曲(对于300mm晶片),且在一些情况下甚至更大。
在许多实施方案中,沉积于晶片背侧上的材料可为介电材料。在一些情况下,使用氧化物和/或氮化物(例如,硅氧化物/硅氮化物)。可被使用的含硅反应物的示例包括(但不限于)硅烷、卤代硅烷、及氨基硅烷。硅烷含有氢和/或碳基,但不含卤素。硅烷的示例为硅烷(SiH4),二硅烷(Si2H6),以及诸如甲硅烷、乙硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二(叔丁基)硅烷、烯丙基硅烷、仲丁基硅烷、三甲基丙基硅烷、异戊基硅烷、叔丁基二硅烷、二(叔丁基)二硅烷等等有机硅烷。卤代硅烷含有至少一个卤基、且可能含有或不含氢和/或碳基。卤代硅烷的示例为碘硅烷、溴硅烷、氯硅烷、及氟硅烷。虽然卤代硅烷(特别是氟硅烷)可形成可蚀刻硅材料的反应性卤化物物质,但在本文所述的某些实施方案中,当等离子体点燃时不存在含硅反应物。特定的氯硅烷为四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、单氯硅烷(ClSiH3)、氯丙烯基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二(叔丁基)氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、三甲基丙基二甲基氯硅烷等。氨基硅烷包含至少一个与硅原子键合的氮原子,但也可含有氢、氧、卤素、及碳。氨基硅烷的示例为单、二、三、与四氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3、及Si(NH2)4)、以及经取代的单、二、三、与四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS))、叔丁基硅烷基氨甲酸酯、SiH(CH3)—(N(CH3)2)2、SiHCl—(N(CH3)2)2、(Si(CH3)2NH)3等。氨基硅烷的进一步的示例为三硅烷基胺(N(SiH3))。其他可能的含硅反应物包含四乙氧基硅烷(TEOS)、以及环状与非环状的TEOS变异体,例如四甲氧基硅烷(TMOS)、氟三乙氧基硅烷(FTES)、三甲基硅烷(TMS)、八甲基四环硅氧烷(OMCTS)、四甲基环四硅氧烷(TMCTSO)、二甲基二甲氧基硅烷(DMDS)、六甲基二硅氮烷(HMDS)、六甲基二硅氧烷(HMDSO)、六甲基环三硅氧烷(HMCTSO)、二甲基二乙氧基硅烷(DMDEOS)、甲基三甲氧基硅烷(MTMOS)、四甲基二硅氧烷(TMDSO)、二乙烯基四甲基二硅氧烷(VSI2)、甲基三乙氧基硅烷(MTEOS)、二甲基四甲氧基二硅氧烷(DMTMODSO)、乙基三乙氧基硅烷(ETEOS)、乙基三甲氧基硅烷(ETMOS)、六甲氧基二硅烷(HMODS)、双(三乙氧硅烷基)乙烷(bis(triehtoxysilyl)ethane:BTEOSE)、双(三甲氧硅烷基)乙烷(BTMOSE)、二甲基乙氧基硅烷(DMEOS)、四乙氧基二甲基二硅氧烷(TEODMDSO)、四(三甲基硅烷氧基)硅烷(TTMSOS)、四甲基二乙氧基二硅氧烷(TMDEODSO)、三乙氧基硅烷(TIEOS)、三甲氧基硅烷(TIMEOS)、或四丙氧基硅烷(TPOS)。
示例性含氮反应物包括(但不限于)氨、联胺、胺(如含碳的胺)(例如甲胺、二甲胺、乙胺、异丙胺、叔丁胺、二(叔丁基)胺、环丙胺、仲丁胺、环丁胺、异戊胺、2-甲基丁烷-2-胺、三甲胺、二异丙胺、二乙基异丙胺、二(叔丁基)联胺以及含芳香烃的胺(例如苯胺、吡啶及苯甲胺)。胺可为一级、二级、三级、或四级(例如,四烃基铵化合物)。含氮反应物可含有氮以外的杂原子,例如,羟胺、叔丁基羰氧基胺以及N-叔丁基羟胺为含氮反应物。
含氧共反应物的示例包括氧、臭氧、氧化亚氮、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧烃(CxHyOz)、水、及其混合物等。
这些反应物的流率很大程度地取决于反应的类型,通过该反应的类型沉积背侧层。在使用CVD/PECVD以沉积背侧层的情况下,含硅反应物的流率可为介于约0.5至10mL/min之间(在雾化之前),例如介于约0.5至5mL/min之间。含氮反应物、含氧反应物、或其他共反应物的流率可为介于约3至25SLM之间,例如介于约3至10SLM之间。
在某些实施方案中,在进一步的处理之后可将背侧层去除。在这种情况下,应选择背侧层的组成,以使其可在适当的时间被轻易地去除。在此方面,于所期望的去除化学过程中,在背侧层的材料(例如,介电质)与下方衬底的材料(例如,硅)之间应有高选择性。
背侧层的最佳厚度会取决于由晶片前侧上的沉积所引起的应力量、以及沉积背侧层的条件。可将背侧层沉积至使得晶片中的应力变得可忽略(例如,小于约150MPa)的厚度。在这些或其他实施方案中,可将背侧层沉积至使得晶片弯曲变得可忽略(例如,小于约150μm的弯曲)的厚度。在一些情况下,这对应于介于约0.1至2μm之间的背侧层厚度,例如介于约0.3至2μm之间、或介于约0.1至1μm之间、或介于约0.3至1μm之间。在使用硅氮化物以形成背侧层的情况下,具有约0.3μm厚度的膜足以减轻约50至200μm的弯曲。如上所提及的,可使用较高应力的背侧层以使所需的膜层厚度减小。这有助于节省材料及降低成本。针对关于背侧沉积技术的更多信息,可参照由与本申请相同的受让人所有的美国专利申请No.14/285,554,并且通过引用并入本文。
应理解,本实施方案可以许多方式实施,例如工艺、装置、***、设备、或方法。下文描述若干实施方案。
膜的沉积在一实施方案中在等离子体增强化学气相沉积(PECVD)***中实施。PECVD***可采取许多不同的形式。PECVD***包含一或更多室或“反应器”(有时包含多个站),其容纳一或更多晶片、且适用于晶片处理。每一室可容纳一或更多晶片以进行处理。一或更多室将晶片维持在所定义的一个或多个位置中(在该位置内有或无动作,例如转动、振动或其它扰动)。在处理期间,经受沉积的晶片可从反应器室内的一站被转移至另一站。当然,膜沉积可全部在单一站处进行,或者膜的任何部分可在任何数目的站处加以沉积。
在处理期间,每一晶片通过基座、晶片卡盘和/或其他晶片保持装置而被保持于适当的位置。对某些操作而言,装置可包含诸如加热板的加热器,以加热晶片。
图1A显示用以处理晶片128的衬底处理***100。该***包含室102。中心柱被配置为在衬底128的顶表面受处理(例如在顶表面上形成膜)时支撑基座。根据本文所公开的实施方案的基座被称为喷淋头-基座(“喷淋座”)106。喷淋头104设置于喷淋座106上方。在一实施方案中,喷淋头104经由匹配网络125而电气耦合至电源122。电源122由如控制器的控制模块120控制。在其他实施方案中,可将功率提供给喷淋座106而非喷淋头104。控制模块120被配置为通过执行特定配方的工艺输入及控制而操作衬底处理***100。根据是衬底128的顶表面接收沉积膜还是衬底128的底表面接收沉积膜,控制模块120设定工艺配方的各种操作输入,如功率水平、时序参数、处理气体、晶片128的机械移动、晶片128离喷淋座106的高度等。
中心柱还可包含由升降销控制装置控制的升降销。升降销用以使晶片128从喷淋座106升起,以容许末端执行器拾取晶片,并在末端执行器放置晶片128后使其降低。末端执行器(未显示)也可将晶片128放置于间隔件130上方。如下所述,间隔件130是在尺寸上设计成在喷淋头104的顶表面(面向晶片)与喷淋座106的顶表面(面向晶片)之间提供晶片128的受控间距。
衬底处理***100还包含连接至气体源110(例如,来自工厂的气体化学品供应源及/或惰性气体)的气体歧管108。根据正在衬底顶表面上执行的处理,控制模块120控制气体源110经由气体歧管108进行的输送。接着使所选择的气体流至喷淋头104中、并分布在限定于喷淋头104的面向晶片128的面、及设置于基座140之上的晶片之间的空间容积中。
衬底处理***100还包含连接至气体源114(例如,来自工厂的气体化学品供应及/或惰性气体)的气体歧管112。根据正在衬底底表面上执行的处理,控制模块120控制气体源114经由气体歧管112的输送。接着使所选择的气体流至喷淋头104中、并分布在限定于喷淋座106的面向晶片128的下表面/侧的面、及设置于间隔件130之上的晶片之间的空间容积中。间隔件130提供使晶片128下表面的沉积优化、同时使晶片顶表面上的沉积减少的间隔。在一实施方案中,当沉积针对晶片128的下表面时,使惰性气体经由喷淋头104而流动于晶片128的顶表面上,其将反应物气体从顶表面推走、并使得由喷淋座106所提供的反应物气体被导向晶片128的下表面。
另外,气体可进行预混合,或不预混合。可采用适当的阀控及质量流量控制机构来确保在工艺的沉积及等离子体处理阶段期间输送正确的气体。处理气体经由出口离开室。真空泵(例如,一或二段式机械干式泵、及/或涡轮分子泵)将处理气体抽出,并且通过经闭回路控制的流量限制装置(例如,节流阀、或摆式阀)而在反应器内维持适当低的压力。
还显示围绕喷淋座106的外部区域的载送环124。当晶片128的顶表面受处理(例如,材料被沉积于其上)时,载送环124被配置成坐落于载送环支撑区域上,该载送环支撑区域是自喷淋座106中心内的晶片支撑区域下的台阶。载送环124包含其碟形结构的外缘侧(例如,外半径)、及其碟形结构的最接近设置晶片128之处的晶片边缘侧(例如,内半径)。载送环124的晶片边缘侧包含多个接触支撑结构,该多个接触支撑结构被配置成在载送环124被间隔件130所保持时抬起晶片128。
在图1B中,蜘蛛式叉132系用以抬升载送环124、并使载送环124维持于其处理高度(亦即,用于在晶片128的下表面沉积)。因此载送环124与晶片128一起被抬升、并可被旋转至另一站(例如,在多站***中)。
图2显示多站处理工具的俯视图,其中设置四个处理站。图1A与1B的实施方案说明了室102,其可被实现于具有四个室站的图2与3的室102中。图2与3提供室部分的俯视图(例如,为说明起见而移除顶部的室部分),其中四个工作站是通过蜘蛛式叉132进出。每一蜘蛛式叉132或叉件包含第一及第二臂,该第一及第二臂中的每一个定位于喷淋座106的各侧的一部分的周围。在此视图中,蜘蛛式叉132系以虚线描绘,以表达其在载送环124下方。使用接合及旋转机构220的蜘蛛式叉132被配置成升起并同时地从工作站(例如,从载送环124的下表面)抬升载送环124,并且接着在使载送环124(此时,载送环其中的至少一者支撑晶片128)降低至下一位置之前旋转至少一或更多站,使得进一步的等离子体处理、加工、和/或膜沉积可在各晶片128上进行。如上所述,在一实施方案中,蜘蛛式叉132可用以将晶片128抬升至能够在晶片128的背侧上沉积的高度,同时基本上避免沉积于晶片128的顶侧上,例如,如图1B所示。
图3显示多站处理工具的实施方案的示意图,该多站处理工具具有入站装载锁148及出站装载锁140。大气压力下的机械手142被配置成从盒(通过晶舟150而加载)经由大气端口144而将衬底128移入入站装载锁148。入站装载锁148耦合至真空源(未显示),因此在关闭大气端口144时,入站装载锁148可被抽空。入站装载锁148还包含与处理室102相接合的室转移端口146。因此,当打开室转移端口146时,另一机械手(未显示)可将衬底从入站装载锁148移至第一处理站的喷淋座106进行处理。
在图3所显示的实施方案中,所描绘的处理室102包含从1编号至4的四个处理站。在一些实施方案中,处理室102可配置以维持低压环境,使得衬底可在不经受真空破除及/或空气暴露的情况下,使用载送环124而被转移于处理站之间。图3中所描绘的每一处理站喷淋座106,其被配置为在将进行背侧沉积时输送处理气体。在背侧沉积期间,无论是间隔件还是蜘蛛式叉被用于将晶片自喷淋座106抬起,喷淋头104都被配置为将惰性气体供应至衬底的顶表面上,以避免或减少晶片106的顶表面上的沉积。
图3还描绘用以在处理室102内转移晶片、并在背侧沉积期间抬升晶片128的蜘蛛式叉132。如以下将更详细描述,蜘蛛式叉132还可进行旋转,并使晶片能从一站传送至另一站。传送通过促成蜘蛛式叉132从外部下表面抬升载送环124而进行,该蜘蛛式叉132接着将晶片抬起,并且接着将晶片及载送环124一起旋转至下一站。在一配置中,蜘蛛式叉132由陶瓷材料制成,以抵抗处理期间的高程度的热。
在其他实施方案中,取代使用蜘蛛式叉132来抬升并转移晶片,桨式结构也可用以抬升并传送晶片。桨件可被设置于多个站之间,类似于蜘蛛式形式132坐落的方式,并可以相同方式运作。因此,为容易理解,论及蜘蛛式形式132处应理解为也适用于桨件构造,桨件构造可提供控制抬升(例如在背侧晶片沉积期间)及工作站间的传送。
广泛而言,本文所公开的实施方案用于以动态控制在晶片的选择侧(前侧和/或背侧)上沉积PECVD膜的***。一实施方案包含双重气流电极以界定电容耦合式PECVD***。该***会包含气流喷淋头104及喷淋座106。在一实施方案中,气流基座(亦即,喷淋座)为喷淋头及基座的组合,其使得能在晶片背侧上进行沉积。电极几何结合喷淋头的特征(例如,气体混合充气部、孔、孔图案、防气体喷射的隔板)与基座的特征(例如,嵌入式受控加热器、晶片升降机构、保持等离子体约束环的能力、以及可动性)。这使得在具有或不具有来自基座的RF功率的情况下能进行晶片传送及气体处理。
在一实施方案中,***具有晶片升降机构,其容许严格控制衬底相对于电极的平行性。在一实施方案中,这通过以下方式而实现:设置升降机构以平行于两个电极、以及控制制造公差(例如,转轴或升降销机构)。通过将晶片抬升部件升起而限定另一实施方案,但此该选择并不容许对受沉积侧进行动态控制。
在一配置中,升降机构容许在处理期间(在等离子体之前、等离子体期间、等离子体之后)动态地控制距离,以控制沉积的侧、沉积轮廓、及沉积膜的特性。***更容许反应物所流动的侧的选择性启动/停止。一侧可流动反应物,且另一侧可流动惰性气体以抑制沉积与等离子体。
在一实施方案中,晶片的不需等离子体/沉积的侧之间的间隙必须被严格控制至抑制等离子体所需的最小值(否则会存在等离子体损害)。在一示例中,该***容许有约2mm至约0.5mm的最小间隙,而在另一实施方案中,该***容许有约1mm至约0.05mm的最小间隙(受晶片弯曲所限制),并且此类间隙可受控制。在一实施方案中,此间隙取决于工艺条件。
在一实施方案中,气流基座(亦即,喷淋座)使得但不限于:(a)能够在处理之前使晶片热稳定至处理温度;(b)能够进行喷淋座上的孔图案的选择性设计以使膜选择性地沉积于晶片背侧的不同区域中;(c)能附接可更换的环以实现适当的等离子体约束及孔图案;(d)能在室内有稳定的晶片传送机构,以用于将晶片向外传送至另一室或盒,例如升降销、RF耦合特征、最小接触阵列;(e)能实现气体混合特征,例如内充气部、隔板、及歧管线开口;以及(f)能在气流基座(亦即,喷淋座)中加入隔间以使选择性气体流至晶片背侧的不同区域、并经由流量控制器及/或多个充气部以控制流率。
在另一实施方案中,使用晶片升降机构的动态间隙控制使得:(a)能控制由沉积物或反应物流动电极至晶片需沉积的侧的距离、或位于中间使得两侧皆可被沉积;以及(b)升降机构能在处理期间(在等离子体之前、等离子体期间、等离子体之后)动态地控制距离,以控制沉积的侧、沉积轮廓、及沉积膜的特性。在另一实施方案中,针对用于在晶片背侧上沉积的沉积模式而言,非常需要膜边缘排除控制以避免光刻相关的覆盖问题。用于该***中的升降机构通过载送环124而实现,该载送环124具有用以遮蔽边缘上的沉积的设计特征。这通过载送环的设计与外形而指定边缘排除控制。
图4A显示喷淋座106的边缘区域的截面图。该视图提供具有载送环内半径124a及载送环外半径124b的载送环124的截面图示。间隔件130被配置为坐落于喷淋座106上的由喷淋座106中的台阶区域106g所限定的边缘处。如图4B所示,间隔件130优选间隔开,以从载送环124的下表面为载送环124提供均匀支撑。在一实施方案中,载送环124会包含支撑延伸部124c,其延伸至载送环124的基本平坦的表面的下方。
在一些实施方案中,在晶片边缘与载送环内半径的重叠减少的情况下,可能暴露衬底的凹口。为了避免具有覆盖凹口的间隙,载送环可被建构成在拟放置凹口的区域中具有延伸部。通过该方式,可能使背侧沉积发生的区域延伸,同时避免因暴露的晶片凹口而造成的沉积不均匀性。因此,可通过调整载送环124的几何而处理各种尺寸的边缘排除(EE)。
支撑延伸部124c被配置为接合且坐落于间隔件130的顶表面中所限定的支撑表面内。支撑表面为支撑延伸部124c提供互补的接合表面,使得载送环124在受间隔件130支撑时避免滑动或移动。虽然图4B中显示三个间隔件130,但应设想,任何数目的间隔件可被设置,只要可将载送环支撑为实质上平行于喷淋座106的表面,并且间距被限定以便将衬底128以与喷淋座106的顶表面成间隔开的关系支撑。
进一步显示喷淋座106的顶表面包含孔图案106a,该孔图案106a分布于整个表面以在操作期间提供气体的均匀分布与输出。在一实施方案中,孔图案106a分布于多个同心环中,这些同心环开始于喷淋座106的顶表面中心处,并延伸至喷淋座106的外周。在孔图案的边缘孔区域107处设置至少一个环的孔106a,且限定于边缘孔区域107中的喷嘴优选为倾斜的,以便以不垂直于喷淋座106表面的方式提供气体。
在一示例中,喷嘴在边缘孔区域107中的角度或倾斜限定为偏离喷淋座106的中心的倾斜或角度。在一实施方案中,该角度与水平线约呈45°。在其他实施方案中,该角度可在与水平线呈20°至与水平线呈80°之间变化。在一实施方案中,通过在边缘孔区域107中提供倾斜的喷嘴,可在衬底128的背侧沉积期间提供处理气体的额外分布。在一实施方案中,孔图案106a的剩余喷嘴106d定位成实质垂直于喷淋座106的表面,且指向衬底128的下侧。
图4B显示当衬底128系由载送环124所保持时,衬底128边缘会坐落于边缘区域上,该边缘区域较靠近载送环内半径124a。如将于其他图式中更加详细显示,当使用间隔件130定位时,喷淋头104的面向衬底128顶表面的表面会实质上靠近,以在正对衬底128背侧进行沉积的模式期间避免沉积。
举例而言,衬底128的顶部与喷淋头104的表面之间的距离优选为介于约2mm至0.5mm之间,而在一些实施方案中为介于约1mm至约0.5mm之间,其取决于晶片弯曲。亦即,如果晶片实质上是弯曲的,则间距为大于0.5mm。如果晶片尚未实质上弯曲,则间距可为小于0.5mm。在一实施方案中,优选的情况为使间距最小化,以在利用材料层沉积衬底背侧时避免衬底顶侧上的沉积。在一实施方案中,喷淋头104被配置为在沉积衬底背侧期间将惰性气体流动供应于衬底128的顶侧上,并且沉积气体由喷淋座106供应。
图5A显示等离子体处理***的示例,该等离子体处理***包含定位于喷淋座106上方的喷淋头104。在此示例中,间隔件130显示为支撑载送环124,且支撑延伸部124c被保持于支撑件130的凹陷区域内。如上所述,支撑件130被配置为将载送环124以一定间隔距离保持,该间隔距离使载送环124与支撑于其上的晶片128升高远离喷淋座106的表面。
以此方式,晶片128的顶表面以减小的间距实质上靠近于喷淋头104的暴露表面104a而设置。如上文所提及的,减小的间距优选介于约2mm至0.5mm之间,而在一些实施方案中为介于约1mm至约0.5mm之间,具体取决于晶片弯曲。亦即,如果晶片实质上是弯曲的,则间距为大于0.5mm。如果晶片尚未实质上弯曲,则间距可为小于0.5mm。此外,喷淋头104被配置为供应内部(inner)气体,在通过喷淋座106供应反应物及处理气体以处理背侧沉积的模式期间,该内部(inner)气体在载送环124所支撑的晶片的顶表面上流动。
进一步显示限定喷淋座106表面上的孔图案106a的复数喷嘴106d。还显示边缘孔区域107,其指出边缘孔区域可包含至少一列的周向排列的孔,这些孔是倾斜的以朝向喷淋座106的外缘提供处理气体,以将处理气体提供至较靠近于载送环124所支撑的衬底的下侧边缘。
图5B显示图5A的等离子体处理***的横剖面图。在此示例中,喷淋座106的喷嘴106d及喷淋头的喷嘴104d显示为分别提供从充气部区域306及304流出的路径。设置充气部306及304以协助被引入喷淋座106及喷淋头104的气体的分配。隔板206设置于喷淋座106中,该隔板206沿着进入喷淋座106的气体进入路径312而定位。隔板206协助分配被引入喷淋座106的充气部306的气体。隔板204设置于喷淋头104中,该隔板204沿着进入喷淋头104的气体进入路径308而定位。隔板204协助分配被引入喷淋头104的充气部304的气体。
进一步显示耦合至喷淋座106的气体进入路径312的气体歧管112。气体源114显示为耦合至气体歧管112。气体源114被配置为将一或更多的不同类型的气体提供至喷淋座106。举例而言,气体可为在载送环124被支撑件130支撑时用于衬底128背侧上的沉积的反应气体。在一实施方案中,可透过使用喷淋座106使处理情况(例如,硅烷(SiH4)+氨(NH3))流动以沉积背侧材料层,同时喷淋头104输送氮(N2)清扫气体流。
另一示例背侧沉积处理可为SiH4+N2O化学品,其可通过改变SIH4/N2O的流率及功率而加以调整,以赋予0MPa至-400MPa的范围内的应力。再者,相信,使用SiH4+NH3化学品的SiN膜可在-1200MPa至+1200MPa的应力。使用TEOS化学品的SiO2处理可提供+200MPa至-400Mpa的范围内的应力。因此,透过选择化学品、施加气体的位置以及厚度,以下为可能的:策略性地抵消由膜层之前侧沉积所造成的应力,并减少或避免晶片/衬底翘曲。
此外,如上所述,当清扫气体由喷淋头104输送时,喷淋头与衬底128的顶表面之间的间隙被最小化,例如,介于约0.5mm至约5mm之间。如果晶片弯曲过多,则间距可为大于0.5mm,但优选为小于5mm,而在一实施方案中,小于约3mm、或小于约2mm、或小于约1mm。
使SiH4+NH3流动(经由喷淋座106的喷嘴106d),SiN/SiO2膜层被沉积于衬底128的背侧上,同时衬底以与喷淋座106的顶表面成间隔开的关系由载送环124保持。在相同室内进行顶侧沉积的模式中,喷淋座106作为基座操作,而无气体流出喷嘴106d。在此配置中,根据所选的配方,不同类型的材料可被沉积于衬底128的顶表面上。因此,使背侧沉积能应对例如由氮气、氧化物膜所引入的高应力。亦即,背侧沉积补偿了在顶侧沉积期间所引起的应力并协助减少晶片弯曲。
耦合至气体进入路径308的气体歧管108设置有与气体源110的连接。气体进入路径308提供用于将气体供应至喷淋头104中的通道,该气体进入路径308通向隔板204及充气部304。气体源110可提供不同类型的气体,具体取决于所执行的处理。举例而言,若衬底128进行背侧沉积,则喷淋头104被配置为输送流动于衬底128的顶表面上的惰性气体,以避免衬底128的顶表面上的沉积。
可配置喷淋头104以在不同流率下供应气体,以便在沉积背侧表面时避免沉积气体渗到顶表面上。如果正在进行顶表面沉积,则衬底128被降低至喷淋座106的表面上,且支撑件130被移开。在此配置中,顶表面沉积可利用被引入喷淋头104的处理气体而进行。在此阶段期间,处理气体并非通过喷淋座106而引入,并且喷淋座106作为标准的支撑卡盘或基座操作。因此,应理解,喷淋座106可以以多重模式操作,具体取决于所沉积的表面,例如衬底128的顶侧表面或背侧表面。
图6A显示等离子体处理***的另一实施方案。在此实施方案中,不利用支撑件130来将载送环124抬升至喷淋座106表面之上的适当高度。相对地,利用蜘蛛式叉132以将载送环124抬升并维持于可控高度,因此载送环124所支撑的晶片128的背侧沉积可经由通过喷淋座106的喷嘴106d所引入的反应气体来进行。如上所讨论的,喷淋座106包含设置于同心圆中的多个喷嘴106d,这些同心圆自喷淋座106的中心延伸至边缘。
可设置外缘区域107(其可包含一或更多的喷嘴同心圆)以提供反应气体的倾斜输出,这些反应气体在载送环124将衬底128保持时被导向衬底128的下表面的外缘。在图2及3中通过示例的方式显示蜘蛛式叉132。应理解,用于搬运载送环124的替代方法也可采用。例如,设置于多个站之间的桨式支撑件也可用于搬运载送环、并将载送环抬升至适当高度,以达到喷淋座106的顶表面与衬底128的下侧之间的间隔距离。广泛而言,间隔件130以及蜘蛛式叉132或桨件提供衬底支撑件以与喷淋座106成间隔开的关系保持载送环124(以及衬底(当存在时))。
在一实施方案中,根据图1A及4A-5B、用于以与喷淋器-基座成间隔开的关系保持衬底的衬底支撑件由多个间隔件130限定。这些间隔件包含具有支撑表面以保持载送环的至少三个间隔件,并且载送环被配置为在衬底存在时以该间隔开的关系保持衬底。
根据图1B、6A及6B,用于以与喷淋器-基座成间隔开的关系保持衬底的衬底支撑件由蜘蛛式叉或桨件中的一者限定,蜘蛛式叉或桨件被配置为保持载送环,载送环被配置为在衬底存在时以该间隔开的关系保持衬底。
图7A显示喷淋座106的俯视图,且孔图案106a具有喷嘴106d的同心圆,其自喷淋座106的中心延伸至喷淋座106的孔图案106的外周。如图所示,喷嘴106d的至少一个同心圆沿着边缘孔区域107而加以限定。如上文所提及的,建构边缘孔区域107以使喷嘴倾斜远离中心,因此在载送环124于间隔开的关系下将衬底128保持于喷淋座106之上时,气体可被导向衬底128的边缘。此示例中还显示蜘蛛式叉132,其用以将载送环124抬升至间隔开的距离,如图6A及6B所示。
在一实施方案中,喷淋座106还包含加热器,该加热器整合于其中以在顶侧沉积期间提供加热功能。可分配加热器组件以提供均匀的加热分布,同时仍供在背侧沉积期间输送气体的喷嘴106d穿过。
如上文所提及的,衬底128的顶部与喷淋头104的表面104a之间的间隔距离优选介于约2mm至0.5mm之间,而在一些实施方案中为介于约1mm至约0.5mm之间,具体取决于晶片弯曲。亦即,如果晶片实质上是弯曲的,则间距为大于0.5mm。如果晶片尚未实质上弯曲,则间距可为小于0.5mm。在一实施方案中,当衬底以间隔开的距离被保持时,衬底的背侧与喷淋座106的顶表面之间的间隔开的距离为介于约8mm至约14mm之间,而在一示例中,衬底128的和下侧与喷淋座106的顶表面之间的间隔开的距离为约10mm。
在一些实施方案中,在喷淋座106中形成喷嘴的同心环也可被分隔成不同区域。例如,可限定外侧区域以将用于沉积特定类型的材料中的一种类型的气体施加于衬底背侧,同时将不同的气体组合供应至衬底背侧的中心部分。通过供应不同气体穿过喷嘴106d的不同区域,以下情况是可能的:利用不同类型的材料、不同厚度、及不同区域来标定衬底背侧的不同区域。
图7B-7C显示可如何限定不同的区域。图7B显示可根据应用而向内或向外调整的内侧区域以及外侧区域。图7C显示三个区域,例如内侧区域、中间区域以及外侧区域。
在一些实施方案中,可由外侧区域(其可包含一些同心环)输出沉积气体,而不使气体从中心区域的喷嘴流动。通过此举,以下情况是可能的:影响沉积于衬底背侧上的材料的厚度,并且策略性地对抗由衬底顶表面上的材料层所造成的翘曲。在一些实施方案中,喷淋座106可包含同心区域以外的不同定向的区域。
区域的其他定位也可包含在不同象限、圆饼的不同切片、不同区域等中设置区域,其可利用设置于喷淋座106内的不同充气部而加以单独控制。因此,应设想,设置于喷淋座106中的喷嘴106d及孔图案106a可被设计为将相同或不同的气体输送至不同区域,以影响衬底背侧上的不同和厚度的沉积,以对抗由衬底的顶表面或顶侧上加工的多个膜层所造成的衬底翘曲。
图8示出了用于控制上述***的控制模块800。在一实施方案中,图1的控制模块110可包括示例部件中的一些。例如,控制模块800可包括处理器、存储器和一或多个接口。控制模块800可被用于部分地基于感测值来控制***中的设备。仅仅作为示例,控制模块800可基于感测值和其他控制参数来控制一或多个阀802、过滤器加热器804、泵806以及其他设备808。控制模块800从仅作为示例的压力计810、流量计812、温度传感器814和/或其他传感器816接收感测值。控制模块800还可被用于在前体输送和膜沉积的过程中控制工艺条件。控制模块800通常可包括一或多个存储器设备和一或多个处理器。
控制模块800可控制前体输送***和沉积装置的活动。控制模块800执行包括指令集的计算机程序,所述指令集用于控制工艺时序、输送***温度、跨越过滤器的压差、阀位置、气体混合物、室压、室温、晶片温度、RF功率电平、晶片卡盘或基架位置以及特定工艺的其他参数。控制模块800还可监控压差以及将气相前体输送从一或多个路径自动切换到一或多个其他路径。存储在与控制模块800相关联的存储器设备上的其他计算机程序可在一些实施方案中被采用。
通常会有与控制模块800相关联的用户界面。用户界面可包括显示器818(例如显示屏和/或该装置和/或工艺条件的图形软件显示器)以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备820。
用于控制前体输送、沉积和工艺序列中的其他工艺的计算机程序可以任何常用计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其他。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。
控制模块参数与工艺条件有关,比如,例如过滤器压差、工艺气体组分和流率、温度、压强、等离子体条件(比如RF功率电平和低频RF频率)、冷却气压、以及室壁温度。
***软件可以许多不同方式被设计或配置。例如,各种室部件子例程或控制对象可被编写来控制执行创造性的沉积工艺所需要的室部件的操作。用于此目的的程序或程序段的示例包括衬底放置代码、工艺气体控制代码、压强控制代码、加热器控制代码和等离子体控制代码。
衬底放置程序可包括用于控制用来将衬底装载到基架或卡盘上以及用来控制衬底和其他室部件(比如气体入口和/或标靶)之间的间隔开的室部件的程序代码。工艺气体控制程序可包括用于控制气体组分和流率以及可选地用于在沉积之前使气体流入室中以便稳定室中的压强的代码。过滤器监控程序包括将测定的差与预定值进行比较的代码和/或用于切换路径的代码。压强控制程序可包括用于通过调整例如室的排放***中的节流阀来控制室中的压强的代码。加热器控制程序可包括用于控制给用于加热前体输送***中的部件、衬底和/或该***的其他部分的加热单元的电流的代码。替代地,加热器控制程序可控制传热气体(比如氦)到晶片卡盘的输送。
在沉积过程中可被监控的传感器的示例包括但不限于质量流量控制模块、压力传感器(比如压力计810)和位于输送***、基架或卡盘中的热电耦(例如温度传感器814)。经恰当编程的反馈和控制算法可与来自这些传感器的数据一起用来维持希望的工艺条件。前述内容描述了本发明的实施方案在单或多室半导体处理工具中的实施。
出于阐释和描述的目的已经提供了实施方案的上述记载。它无意于穷尽或限制本发明。特定实施方案的单个元素或特征一般并不受限于该特定实施方案,而是在适用的情况下可以互换以及可被用在选定的实施方案中,即使没有特别示出或描述。同样也可以多种方式变化。这样的变化不被认为是对本发明的背离,且所有这样的修改意在被包括在本发明的范围内。
虽然出于清楚理解的目的对前述实施方案进行了一定程度的详细描述,但应当理解,某些改变和修改可在所附权利要求的范围内进行。据此,所呈现的实施方案应当被视为说明性的而非限制性的,且这些实施方案并不受限于此处给出的细节,而是可在权利要求的范围和等同方案内被修改。

Claims (20)

1.一种等离子体处理***,其包括:
室;
控制器;
设置在所述室内的喷淋头;
第一气体歧管,其连接到喷淋头,以用于响应于来自所述控制器的控制而从第一气体源提供第一气体;
设置在所述室内并与所述喷淋头相对定位的喷淋器-基座;
第二气体歧管,其连接到所述喷淋器-基座,以用于响应于来自所述控制器的控制从第二气体源提供第二气体;
衬底支撑件,其用于将衬底以与所述喷淋器-基座间隔开的关系保持;和
射频(RF)电源,其在所述衬底存在于所述室内并由所述衬底支撑件以与所述喷淋器-基座间隔开的关系保持时,向所述喷淋头提供功率,以产生用于在所述衬底的背侧沉积膜的等离子体。
2.根据权利要求1所述的等离子体处理***,其中该喷淋器-基座包含垂直喷嘴的孔图案以输出由所述第二气体源提供的所述第二气体,所述孔图案限定多个圆形同心环,所述多个圆形同心环自所述喷淋器-基座的中心延伸至所述喷淋器-基座的外半径,其中所述喷淋器-基座的至少外环包含多个倾斜孔喷嘴,所述多个倾斜孔喷嘴远离在远离所述喷淋器-基座的中心的方向上的垂线倾斜。
3.根据权利要求1所述的等离子体处理***,其中所述喷淋器-基座包含通向所述喷淋器-基座的本体内的隔板的气体进入路径,所述隔板连接至与多个喷嘴相接合的内充气部,所述多个喷嘴连接至分布于所述喷淋器-基座的表面上的孔图案。
4.根据权利要求1所述的等离子体处理***,其中所述喷淋器-基座包含由多个圆形同心环限定的孔图案,所述多个圆形同心环自所述喷淋器-基座的中心延伸至所述喷淋器-基座的外半径,其中所述喷淋器-基座的至少外环包含多个倾斜孔喷嘴,所述多个倾斜孔喷嘴远离在远离所述喷淋器-基座的中心的方向上的垂线倾斜。
5.根据权利要求4所述的等离子体处理***,其中所述喷淋器-基座包含通向所述喷淋器-基座的本体内的隔板的气体进入路径,所述隔板与提供路径至多个喷嘴的内充气部相接合,所述多个喷嘴通向形成于所述喷淋器-基座的通过表面的孔图案。
6.根据权利要求5所述的等离子体处理***,其中所述喷淋头包含通向所述喷淋头的本体内的隔板的气体进入路径,所述隔板与提供路径至多个喷嘴的内充气部相接合,所述多个喷嘴通向形成于所述喷淋头的整个表面的孔图案。
7.根据权利要求1所述的等离子体处理***,其中用于以与所述喷淋器-基座间隔开的关系保持所述衬底的所述衬底支撑件由多个间隔件限定,所述间隔件包含具有支撑表面以保持载送环的至少三个间隔件,所述载送环被配置为在所述衬底存在时以所述间隔开的关系保持所述衬底。
8.根据权利要求7所述的等离子体处理***,其中所述载送环具有拥有内半径及外半径的碟形,靠近所述内半径的唇部被配置为支撑所述衬底,且所述载送环的下表面具有至少三个支撑延伸部,以在所述载送环被支撑于所述多个间隔件上时与所述至少三个间隔件接合,所述多个间隔件被配置为坐落于所述喷淋器-基座的外周上。
9.根据权利要求8所述的等离子体处理***,其中所述多个间隔件中的每一个都具有设定高度,所述设定高度被配置为使所述载送环的上表面或支撑于所述载送环上时的所述衬底与所述喷淋头的表面之间的间距减小,所述间距被设定为介于约2mm至约0.5mm之间。
10.根据权利要求9所述的等离子体处理***,其中用于在所述衬底的背侧上沉积膜的处理模式是在所述衬底被置于所述间距时由所述控制器启动,并且所述第一气体使惰性气体流动,而由所述喷淋器-基座所提供的所述第二气体使反应物气体流动以在所述衬底的所述背侧上形成所述膜。
11.根据权利要求1所述的等离子体处理***,其中用于以与所述喷淋器-基座间隔开的关系保持所述衬底的所述衬底支撑件是由蜘蛛式叉或桨件中的一者限定,所述蜘蛛式叉或桨件被配置为保持载送环,所述载送环被配置为在所述衬底存在时以所述间隔开的关系保持所述衬底。
12.根据权利要求10所述的等离子体处理***,其中蜘蛛式叉或桨件被配置为设定所述载送环的上表面或支撑于所述载送环上时的所述衬底与所述喷淋头的表面之间的间距,所述间距被设定为介于约2mm至约0.5mm之间。
13.根据权利要求12所述的等离子体处理***,其中用于在所述衬底的背侧上沉积膜的处理模式是在所述衬底被置于所述间距时由所述控制器启动,并且所述第一气体使惰性气体流动,而由所述喷淋器-基座所提供的所述第二气体使反应物气体流动以在所述衬底的所述背侧上形成所述膜。
14.一种用于在等离子体处理***中处理衬底的方法,所述等离子体处理***具有喷淋头及定位于所述喷淋头下方的喷淋器-基座,所述方法包含:
在所述喷淋头与所述喷淋器-基座之间设置载送环,所述载送环被配置为支撑所述衬底;
使处理气体以朝向所述衬底的背侧的方向流出所述喷淋器-基座;
将功率施加至所述等离子体处理***的电极,所述功率被配置为在所述喷淋器-基座的顶表面与所述衬底的所述背侧之间的区域中产生等离子体,所述等离子体被配置为由所述处理气体产生沉积于所述衬底的所述背侧上的材料层;并且
使惰性气体以朝向所述衬底的顶侧的方向流出所述喷淋头,所述惰性气体的流动被配置为在所述处理气体流动时进行,以使用所述惰性气体将所述处理气体从所述衬底的所述顶侧清扫,以在所述材料层被沉积于所述衬底的所述背侧上时,避免在所述顶侧上的沉积。
15.根据权利要求14所述的方法,其中所述喷淋器-基座包含限定喷嘴的多个孔,所述喷嘴被配置为:
在延伸靠近所述喷淋器-基座的边缘的中心区域内,以朝向所述衬底的所述背侧的方向、垂直于所述喷淋器-基座的表面而引导所述处理气体的流动;以及
使用设置于所述喷淋器-基座的边缘中的多个喷嘴以远离所述中心区域的角度引导所述处理气体的流动。
16.根据权利要求14所述的方法,其中所述喷淋器-基座包含多个区域,用于不同流率输送所述处理气体、或将不同的处理气体施加于所述多个区域中的每一个,其中所述不同的处理气体被配置为在所述衬底的所述背侧上限定不同的材料沉积区域。
17.根据权利要求14所述的方法,其中所述载送环的所述顶表面被定位于距离所述喷淋头的顶表面至少0.5mm,并与所述喷淋器-基座间隔开。
18.根据权利要求14所述的方法,其中所述载送环由多个间隔件支撑,使得所述载送环在所述处理气体的流动期间被放置靠近于所述喷淋头,并且处理空间限定于所述衬底的背侧与所述喷淋器-基座的所述顶表面之间。
19.根据权利要求14所述的方法,其中蜘蛛式叉用于在执行所述处理气体的流动时将所述载送环从所述喷淋器-基座的所述顶表面抬升间隔开的距离。
20.根据权利要求14所述的方法,其中所述功率被施加至所述喷淋头或所述喷淋器-基座中的一者。
CN201880055786.3A 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积*** Active CN111094620B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210991402.7A CN115613010A (zh) 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积***

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/692,300 2017-08-31
US15/692,300 US10851457B2 (en) 2017-08-31 2017-08-31 PECVD deposition system for deposition on selective side of the substrate
PCT/US2018/048029 WO2019046134A1 (en) 2017-08-31 2018-08-24 PECVD DEPOSITION SYSTEM FOR DEPOSITION ON A SELECTED SIDE OF A SUBSTRATE

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210991402.7A Division CN115613010A (zh) 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积***

Publications (2)

Publication Number Publication Date
CN111094620A true CN111094620A (zh) 2020-05-01
CN111094620B CN111094620B (zh) 2022-09-09

Family

ID=65434865

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210991402.7A Pending CN115613010A (zh) 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积***
CN201880055786.3A Active CN111094620B (zh) 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积***

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202210991402.7A Pending CN115613010A (zh) 2017-08-31 2018-08-24 用于在衬底选择侧上沉积的pecvd沉积***

Country Status (8)

Country Link
US (5) US10851457B2 (zh)
EP (1) EP3676418A4 (zh)
JP (1) JP7303796B2 (zh)
KR (7) KR20230107707A (zh)
CN (2) CN115613010A (zh)
SG (1) SG11202001651UA (zh)
TW (3) TWI800332B (zh)
WO (1) WO2019046134A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112513324B (zh) * 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
SG11202110075RA (en) * 2019-03-15 2021-10-28 Lam Res Corp Friction stir welding in semiconductor manufacturing applications
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
US20230032481A1 (en) * 2020-01-03 2023-02-02 Lam Research Corporation Station-to-station control of backside bow compensation deposition
WO2021162865A1 (en) 2020-02-11 2021-08-19 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge
US20230238223A1 (en) * 2020-06-25 2023-07-27 Lam Research Corporation Carrier rings with radially-varied plasma impedance
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
US12014910B2 (en) 2021-03-19 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process
CN113388826A (zh) * 2021-06-01 2021-09-14 长江存储科技有限责任公司 一种沉积装置和沉积方法
WO2023141162A1 (en) * 2022-01-21 2023-07-27 Lam Research Corporation Apparatuses for backside wafer processing with edge-only wafer contact related application(s)
CN116716590A (zh) * 2023-04-06 2023-09-08 拓荆科技(上海)有限公司 一种背面沉积腔室及化学气相沉积设备
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071784A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication de semi-conducteurs et appareil de fabrication
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20080179010A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
KR20080084323A (ko) * 2007-03-16 2008-09-19 (주)소슬 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
US20090008035A1 (en) * 2005-09-12 2009-01-08 Matsushita Electric Industrial Co., Ldt. Plasma processing apparatus
CN101463473A (zh) * 2007-12-18 2009-06-24 Asm日本公司 用于等离子体化学气相沉积反应器的喷淋板电极
JP2009231836A (ja) * 2008-03-20 2009-10-08 Siltronic Ag ヘテロエピタキシャル層を備えた半導体ウェハ及び前記ウェハの製造方法
US20100059478A1 (en) * 2007-03-16 2010-03-11 Kyung Ho Lee Apparatus for plasma processing and method for plasma processing
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头
TW201542860A (zh) * 2014-03-10 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 具有氣體供應環的化學氣相沈積設備
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
CN105719989A (zh) * 2014-12-19 2016-06-29 朗姆研究公司 减少在晶片边缘的背面沉积
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
DE69227575T2 (de) * 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19522525A1 (de) * 1994-10-04 1996-04-11 Kunze Concewitz Horst Dipl Phy Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
DE19622402C1 (de) 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5789028A (en) 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
JP3582330B2 (ja) 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6170496B1 (en) 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
NL1011856C2 (nl) 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
KR100717583B1 (ko) * 2000-08-26 2007-05-15 주성엔지니어링(주) Pecvd 장치
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP4545955B2 (ja) 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
CN1328766C (zh) 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
NL1018086C2 (nl) 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
KR20040047874A (ko) 2001-09-29 2004-06-05 크리, 인코포레이티드 반전(反轉)된 화학 증착(cvd)용 장치
JP2003115483A (ja) 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4252537B2 (ja) 2002-12-25 2009-04-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7153772B2 (en) 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US6892769B2 (en) 2003-06-30 2005-05-17 Lg.Philips Lcd Co., Ltd. Substrate bonding apparatus for liquid crystal display device panel
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20070110895A1 (en) 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
EP1801861B1 (en) * 2004-07-09 2012-10-03 Sekisui Chemical Co., Ltd. Method and device for treating outer periphery of a substrate
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4185483B2 (ja) 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4584722B2 (ja) 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
KR100690491B1 (ko) 2005-02-18 2007-03-09 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US7666766B2 (en) 2005-09-27 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
JP2007242858A (ja) 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
KR100818390B1 (ko) 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
KR20080092766A (ko) * 2007-04-13 2008-10-16 (주)소슬 기판 지지대 및 이를 구비하는 플라즈마 처리 장치
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090000550A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
JP4936297B2 (ja) 2007-09-04 2012-05-23 シャープ株式会社 プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
KR101432561B1 (ko) 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
TWI401331B (zh) 2007-12-06 2013-07-11 Intevac Inc 雙面濺射蝕刻基板之系統與方法(二)
SG187386A1 (en) 2007-12-19 2013-02-28 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5548841B2 (ja) 2008-01-16 2014-07-16 チャーム エンジニアリング シーオー エルティーディー 基板処理装置
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8373086B2 (en) * 2008-04-07 2013-02-12 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing
KR20090118676A (ko) 2008-05-14 2009-11-18 (주)퓨전에이드 기판처리장치
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
CN101358337B (zh) 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US20100314725A1 (en) 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
WO2011035157A2 (en) 2009-09-18 2011-03-24 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP4794685B1 (ja) 2010-10-19 2011-10-19 ミクロ技研株式会社 基板処理装置及び基板処理方法
US8531654B2 (en) 2010-10-21 2013-09-10 Panduit Corp. Method for designing and selecting optical fiber for use with a transmitter optical subassembly
US8470614B2 (en) * 2010-10-28 2013-06-25 Texas Instruments Incorporated PECVD showerhead configuration for CMP uniformity and improved stress
EP2481830A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
EP2481832A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481833A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8980767B2 (en) 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2014064779A1 (ja) 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理***及电池制备方法
KR101562192B1 (ko) 2013-02-15 2015-10-22 최대규 플라즈마 반응기
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
WO2015112470A1 (en) 2014-01-21 2015-07-30 Applied Materials, Inc. Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
KR101564962B1 (ko) 2014-01-29 2015-11-03 주식회사 루미스탈 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
WO2016019167A1 (en) 2014-07-31 2016-02-04 Corning Incorporated Thermally tempered glass and methods and apparatuses for thermal tempering of glass
US11097974B2 (en) 2014-07-31 2021-08-24 Corning Incorporated Thermally strengthened consumer electronic glass and related systems and methods
US10611664B2 (en) 2014-07-31 2020-04-07 Corning Incorporated Thermally strengthened architectural glass and related systems and methods
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
CN104835712A (zh) * 2015-03-25 2015-08-12 沈阳拓荆科技有限公司 一种应用于半导体等离子体处理装置的弧面喷淋头
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10301718B2 (en) 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
CN112513324B (zh) 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
CN109273378B (zh) 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
KR102185623B1 (ko) 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071784A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication de semi-conducteurs et appareil de fabrication
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20090008035A1 (en) * 2005-09-12 2009-01-08 Matsushita Electric Industrial Co., Ldt. Plasma processing apparatus
US20080179010A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
US20100059478A1 (en) * 2007-03-16 2010-03-11 Kyung Ho Lee Apparatus for plasma processing and method for plasma processing
KR20080084323A (ko) * 2007-03-16 2008-09-19 (주)소슬 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
CN101463473A (zh) * 2007-12-18 2009-06-24 Asm日本公司 用于等离子体化学气相沉积反应器的喷淋板电极
JP2009231836A (ja) * 2008-03-20 2009-10-08 Siltronic Ag ヘテロエピタキシャル層を備えた半導体ウェハ及び前記ウェハの製造方法
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头
TW201542860A (zh) * 2014-03-10 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 具有氣體供應環的化學氣相沈積設備
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
CN105719989A (zh) * 2014-12-19 2016-06-29 朗姆研究公司 减少在晶片边缘的背面沉积
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication

Also Published As

Publication number Publication date
TWI800332B (zh) 2023-04-21
EP3676418A4 (en) 2021-07-21
TWI762709B (zh) 2022-05-01
US20190062918A1 (en) 2019-02-28
KR102490171B1 (ko) 2023-01-18
KR20230158133A (ko) 2023-11-17
US11851760B2 (en) 2023-12-26
US20220162755A1 (en) 2022-05-26
US20220162754A1 (en) 2022-05-26
TWI832722B (zh) 2024-02-11
WO2019046134A1 (en) 2019-03-07
US11725283B2 (en) 2023-08-15
CN111094620B (zh) 2022-09-09
KR20210157435A (ko) 2021-12-28
CN115613010A (zh) 2023-01-17
KR20200038317A (ko) 2020-04-10
KR102490169B1 (ko) 2023-01-18
EP3676418A1 (en) 2020-07-08
JP2020532858A (ja) 2020-11-12
TW201930640A (zh) 2019-08-01
KR20230060549A (ko) 2023-05-04
US20210108314A1 (en) 2021-04-15
US10851457B2 (en) 2020-12-01
TW202227663A (zh) 2022-07-16
US11441222B2 (en) 2022-09-13
KR20230107707A (ko) 2023-07-17
TW202342808A (zh) 2023-11-01
KR20210157436A (ko) 2021-12-28
US20220162753A1 (en) 2022-05-26
KR20230015507A (ko) 2023-01-31
JP7303796B2 (ja) 2023-07-05
SG11202001651UA (en) 2020-03-30
KR102490172B1 (ko) 2023-01-18

Similar Documents

Publication Publication Date Title
CN111094620B (zh) 用于在衬底选择侧上沉积的pecvd沉积***
US20240167161A1 (en) Spatially tunable deposition to compensate within wafer differential bow
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant