JP7303796B2 - 基板の選択した側に堆積させるためのpecvd堆積システム - Google Patents

基板の選択した側に堆積させるためのpecvd堆積システム Download PDF

Info

Publication number
JP7303796B2
JP7303796B2 JP2020511239A JP2020511239A JP7303796B2 JP 7303796 B2 JP7303796 B2 JP 7303796B2 JP 2020511239 A JP2020511239 A JP 2020511239A JP 2020511239 A JP2020511239 A JP 2020511239A JP 7303796 B2 JP7303796 B2 JP 7303796B2
Authority
JP
Japan
Prior art keywords
substrate
showerhead
gas
pedestal
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020511239A
Other languages
English (en)
Other versions
JP2020532858A (ja
Inventor
シャイク・ファヤズ
ラインバーガー・ニック
ベイリー・カーティス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020532858A publication Critical patent/JP2020532858A/ja
Application granted granted Critical
Publication of JP7303796B2 publication Critical patent/JP7303796B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本実施形態は、半導体ウエハ処理装置ツールに関し、より詳細には、より多くの層を最上部側に形成するとき、ウエハ曲がりを打ち消すために裏側堆積を可能にする台座構成を有するチャンバに関する。
プラズマ増強化学蒸着(plasma-enhanced chemical vapor deposition、PECVD)は、ウエハなどの基板上に薄いフィルムを気相(すなわち、蒸気)から固相に堆積させるために使用するプラズマ堆積の一種である。PECVDシステムは、液体の前駆物質を、チャンバに配送される蒸気の前駆物質に変換する。PECVDシステムは、制御された手法で液体の前駆物質を蒸発させて、蒸気の前駆物質を生成する蒸発器を含んでよい。典型的には、PECVDのために使用するチャンバは、処理中にウエハを支持するためのセラミック台座を使用し、これにより、高温の下で処理が可能になる。
典型的には、素子を形成するための大部分の堆積および処理は、ウエハの前面上で、たとえば最上部側で行われる。堆積した層は、積み重なるとき、ウエハ内に応力を導入する可能性がある。この応力は、ウエハを曲げる可能性があり、これは望ましくない。曲がりは、著しい場合、後続の処理ステップに有害な影響を及ぼす可能性がある。
場合によっては、ウエハの裏側に堆積させる材料は、ウエハの曲がりおよび応力を打ち消すことがある。しかしながら、ウエハの裏側に堆積させるために、ウエハを裏返し、裏側を上にしてロードしなければならない。ウエハを裏返すことにより、追加の取扱い、粒子へ暴露する可能性、および/または処理歩留まり低下などの問題がさらに持ち込まれる。
これに関連して、本発明は生まれた。
本開示の実施形態は、最上部側層堆積中のウエハの反りを打ち消すために、裏側基板堆積のための実装形態を提供する。本明細書で開示するシステムおよび方法は、プラズマ処理チャンバ内にシャワーヘッドに対向して置かれたシャワー台座を含む。シャワー台座は、基板の裏側の上に材料を堆積させるために処理ガスを配送可能にする複数の穴から処理ガスを供給するように構成されている。基板は、一実施形態では、シャワー台座から間隔を置いて離して配置されたキャリアリングにより保持されるように構成されており、その結果、基板の裏側は、堆積ガスに露出される。シャワーヘッドは、一実施形態では、パージガス、たとえば不活性ガスを供給して、裏側の堆積ガスが基板の最上部側の上に材料層を形成するのを防止するように構成されている。
一実施形態では、プラズマ処理システムについて開示する。システムは、チャンバ、コントローラ、およびチャンバ内に配置されたシャワーヘッドを含む。第1のガス多岐管は、コントローラからの制御信号に応答して、第1のガス源から第1のガスを提供するためにシャワーヘッドに接続される。シャワー台座は、チャンバ内に配置され、シャワーヘッドに対向する方向に向けられる。第2のガス多岐管は、コントローラからの制御信号に応答して、第2のガス源から第2のガスを提供するためにシャワー台座に接続される。シャワー台座から間隔を置いて離して配置された関係で基板を保持する基板支持物が提供される。シャワーヘッドに電力を供給して、プラズマを発生させるための無線周波数(radio frequency、RF)電源が提供される。プラズマは、基板がチャンバ内に存在するときに基板の裏側にフィルムを堆積させるために使用される。基板は、裏側堆積中にシャワー台座から間隔を置いて離して配置された関係で基板支持物により保持される。シャワーヘッドは、裏側堆積中にパージガスを提供する。
別の実施形態では、シャワーヘッド、およびシャワーヘッドの下方に配置されたシャワー台座を有するプラズマ処理システムで基板を処理するための方法が提供される。方法は、シャワーヘッドとシャワー台座の間でキャリアリングを提供するステップを含む。キャリアリングは、基板を支持するように構成されている。この場合、基板の裏側を向く方向に、シャワー台座から外に処理ガスを流すステップが含まれる。システムは、プラズマ処理システムの電極に電力を印加する。電力は、シャワー台座の最上部表面と基板の裏側の間にある領域内にプラズマを作り出すように構成されている。プラズマは、基板の裏側の上に堆積する処理ガスから材料層を作り出すように構成されている。方法は、基板の最上部側を向く方向に、シャワー台座から外に処理ガスを流すステップをさらに含む。不活性ガスを流すステップは、処理ガスを流すステップの間、続行するように構成されている。不活性ガスを使用して、基板の最上部側から処理ガスを取り除いて、基板の裏側の上に材料層を堆積させる間、前記最上部側の上へ堆積するのを防止する。
いくつかの実施形態による、ウエハの裏側に堆積させるために構成することができる基板処理システムを例示する。 いくつかの実施形態による、ウエハの裏側に堆積させるために構成することができる基板処理システムを例示する。
一実施形態による、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図を例示する。
一実施形態による、内向きのロードロックおよび外向きのロードロックを伴うマルチステーション処理ツールの、ある実施形態の概略図を示す。
基板128がシャワー台座の最上部表面からの分離距離だけ引き揚げられたときの、シャワー台座の縁部の横断面図を提供する。
一実施形態による、基板の裏側堆積中に分離距離でキャリアリングを支持するために利用するスペーサの上面図を例示する。
一実施形態による、基板の上に裏側堆積を遂行する間、分離距離でキャリアリングを支持するスペーサを示すチャンバ図を例示する。 一実施形態による、基板の上に裏側堆積を遂行する間、分離距離でキャリアリングを支持するスペーサを示すチャンバ図を例示する。
一実施形態による、基板の上で裏側堆積を遂行する間、分離距離でキャリアリングを支持するために利用されるスパイダフォークを示すチャンバ図を例示する。 一実施形態による、基板の上で裏側堆積を遂行する間、分離距離でキャリアリングを支持するために利用されるスパイダフォークを示すチャンバ図を例示する。
いくつかの実施形態による、細かいパターン全体である開口部の同心リングを有し、かつ中心半径領域、中間半径領域、外半径領域、および異なるセグメント化を含む異なる半径領域に関してさまざまなゾーンを画定することができることを示す、シャワー台座の上面図を示す。 いくつかの実施形態による、細かいパターン全体である開口部の同心リングを有し、かつ中心半径領域、中間半径領域、外半径領域、および異なるセグメント化を含む異なる半径領域に関してさまざまなゾーンを画定することができることを示す、シャワー台座の上面図を示す。 いくつかの実施形態による、細かいパターン全体である開口部の同心リングを有し、かつ中心半径領域、中間半径領域、外半径領域、および異なるセグメント化を含む異なる半径領域に関してさまざまなゾーンを画定することができることを示す、シャワー台座の上面図を示す。
一実施形態による、システムを制御するための制御モジュールを示す。
本開示の実施形態は、半導体ウエハを処理するために使用する処理チャンバの実施形態を提供する。一実施形態では、チャンバは、より多くの層を基板の最上部側に形成するとき、ウエハの曲がりおよび/または応力を裏側堆積が打ち消すことを可能にする台座構成を用いて構成される。
本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製作された集積回路」という用語を交換可能に使用する。当業者は、「部分的に製作された集積回路」という用語が、その上で集積回路を製作する多くの段階のいずれかの間にあるシリコンウエハを指す可能性があることを理解されよう。半導体素子業界で使用するウエハまたは基板は、典型的には200mmまたは300mmの直径を有するが、業界は、直径450mmの基板を採用する方向に動いている。本明細書の記述は、ウエハ基板の異なる側を記述するために「前」および「裏」という用語を使用する。前側は、大部分の堆積および処理が行われる場所であり、かつ半導体素子自体が製作される場所であることが理解される。裏側は、典型的には製作中に最小の処理を受ける、または処理をまったく受けない、ウエハの反対側である。
提供する流量および電力レベルは、本明細書では特に指定のない限り300mm基板上での処理に適合している。これらの流量および電力レベルは、必要に応じて他のサイズの基板のために調節されてよいことを当業者は認識されよう。以下の詳細な記述は、本発明がウエハ上で実装されると仮定する。しかしながら、本発明は、そのように限定されるわけではない。加工物は、さまざまな形状、サイズ、および材料からなってよい。半導体ウエハに加えて、本発明を利用してよい他の加工物は、プリント回路基板などのようなさまざまな物品を含む。
先進的なメモリおよび論理チップを製造するための多重レベル半導体処理流は、圧縮方向および引っ張り方向に基板を著しく反らせていた。このように適度から激しくまで基板が反るために、さまざまな製造処理の処理条件は損なわれ、処理制御の問題、リソグラフィチャッキング、および重ね合わせ問題を引き起こし、これらは、場合によっては歩留まり損失を増大させる。一実施形態では、反りを制御するための1つの方法は、基板の反対側(すなわち、裏側)に犠牲フィルムまたは複数のフィルムを堆積させて、反りを反対方向に補償し、基板の平坦化をもたらすことである。従来の2重電極RF PECVDシステムは、RFまたは接地とすることができる1つのガス流通電極を有する。典型的には、ガス流通電極(シャワーヘッド104とも呼ばれる)は、PECVD反応器の最上部側にあり、反応物をウエハの前側の上に流れさせ、ウエハの前側だけに堆積させる。
一実施形態によれば、2重ガス流通電極を有するRF PECVDシステムを開示する。電極のいずれか一方は、CVDフィルム堆積のためのプラズマ増強を可能にするAC場を提供するRF電極とすることができる。この2重ガス流通電極PECVDシステムは、ウエハの両側または片側だけにフィルムを選択的に堆積させることができる。一例では、ガス流通台座(「シャワー台座(shower-pedestalまたはshow-ped)」とも呼ばれる)は、設備のセットアップに基づき標準的移送機構を介して、チャンバ内部で近接するステーション間で、またはチャンバの外側で移送するためにウエハを保持することができ、さらにウエハの裏側からガスを流すことができる。
一実施形態では、裏側ガス流は、前側ガス流がウエハの前側の上に堆積する間、ウエハの裏側の上にPECVD堆積を可能にする。システムは、フィルム堆積を引き起こす反応物をオンおよびオフすることにより、かつ反応物を反応しないガス(たとえば、不活性ガス)に置換することにより、堆積側を選択的に有効にするようにセットアップすることができる。このシステムの別の様態は、反応物流通ガスから基板の側までの距離を制御することができるためにある。この制御は、裏側補償などを適用するために必要な堆積輪郭およびフィルム特性を達成可能にする。
別の実施形態では、シャワー台座およびシャワーヘッドは、適切な反応物混合を可能にし、ウエハの裏側または前側にPECVD堆積処理のための適合した流れの力学を提供可能にする、シャワーヘッドに似た特徴を提供する構成を含む。追加で、いくつかの実施形態は、堆積させるためにウエハの所望の側(片側または両側)にプラズマを抑制する、または与えることができる制御可能なギャップを可能にする。制御されるギャップは、たとえば、ウエハの最上部側とショーヘッド104の最上部表面の間にギャップ間隔を、およびウエハの裏側とシャワー台座106の最上部表面の間にギャップ間隔を含むことができる。たとえば、ウエハの裏側に堆積している間、ウエハの最上部側とシャワーヘッドの最上部表面の間のギャップは最小になる。例として、この分離は、約2mm~約0.5mmの間になり、別の実施形態では、(ウエハ曲がり限界に応じて)約1mm~約0.5mmの間になる。
シャワー台座106は、ガスを均一に分配すために(すなわち、処理ガスをウエハの最下部に向けて配送することができるようにするために)シャワーヘッド穴パターンおよび内部プレナムを含むようにさらに構成される。本実施形態はまた、ガス流通台座(すなわち、シャワー台座)が処理ガスを適切な温度にするアクティブヒータを有することができるようにする。シャワー台座106およびシャワーヘッド104の組合せは、重要な属性の両方が同時に機能することができるようにする。シャワー台座106は、一実施形態では、シャワーヘッド104構成要素が処理ガス流を可能にする間、依然としてウエハを加熱し、反応器チャンバ内部で、または反応器の外側で、ウエハ移送特徴を提供することができる。したがって、本明細書で開示するガス流通台座(すなわち、シャワー台座)は、ウエハの両側に選択的に堆積させる従来のPECVD処理を実装可能にする。これらの構成はまた、最上部電極または最下部電極に選択的にRF電力を供給し、堆積を必要とするウエハの側でプラズマを動的に有効/無効にするように構成されている。
大まかに言って、シャワー台座は、ウエハの裏側にフィルムを堆積させることにより、応力および曲がりの問題に立ち向かうための利点をいくつか提供する。裏側フィルムは、前側堆積による応力を打ち消して、曲がりをまったく示さない(または実質的に曲がりをまったく示さない、たとえば、約150μm未満の曲がりを示す)中立な応力(または実質的に中立な応力、たとえば、約±150MPa未満)のウエハをもたらす。前側に堆積したフィルムに張力がある場合、裏側フィルムもまた、全体の応力を相殺するために張力があるべきである。同様に、前側フィルムに圧縮力がある場合、裏側フィルムもまた圧縮力があるべきである。さまざまな反応機構(たとえば、化学蒸着(chemical vapor deposition、CVD)、プラズマ増強化学蒸着(PECVD)、原子層堆積(atomic layer deposition、ALD)、プラズマ増強原子層堆積(plasma enhanced atomic layer deposition、PEALD)、低圧化学蒸着(low pressure chemical vapor deposition、LPCVD)など)を通して裏側フィルムを堆積させてよい。さまざまな事例でプラズマ増強化学蒸着を使用するのは、このタイプの反応で高い堆積速度が達成されるためである。
ある種の堆積パラメータを調整して、所望の応力レベルを有する裏側フィルムを作り出すことができる。これらの堆積パラメータの1つは、堆積した裏側フィルムの厚さである。より厚いフィルムは、ウエハ内により大きな応力を誘発し、一方では、(同じ組成からなり、同じ条件の下で堆積した)より薄いフィルムは、より小さな応力をウエハに誘発する。したがって、裏側層を形成する際に消費する材料の量を最小にするために、この層は、応力の高いフィルムの形成を促進する条件の下で比較的薄く堆積させられてよい。
上述のように、堆積した材料の積層は、ウエハの応力および曲がりをもたらす可能性が特に高い。これらの問題を引き起こすことがある一例の積層は、酸化物および窒化物の交互の層(たとえば、酸化ケイ素/窒化ケイ素/酸化ケイ素/窒化ケイ素など)を有する積層である。曲がりをもたらす可能性が高い別の例の積層は、酸化物およびポリシリコンの交互の層(たとえば、酸化ケイ素/ポリシリコン/酸化ケイ素/ポリシリコンなど)を含む。問題となることがある積層材料の他の例は、タングステンおよび窒化チタンを含むが、それらに限定されない。プラズマ増強化学蒸着(PECVD)、低圧化学蒸着(LPCVD)、金属有機化学蒸着(metal organic chemical vapor deposition、MOCVD)、原子層堆積(ALD)、プラズマ増強原子層堆積(PEALD)などの化学蒸着技法を通して、または直接金属堆積(direct metal deposition、DMD)などを通して、積層内の材料を堆積させてよい。これらの例は、限定することを意図するものではない。開示するある種の実施形態は、ウエハの前側に材料が存在するためにウエハの応力および/または曲がりが誘発されるときはいつも有用なことがある。
前側の積層は、任意の数の層および厚さまで堆積させられてよい。典型的な例では、約32~72の間の層を含み、約2μm~4μmの間の総厚さを有する。積層によりウエハ内に誘発される応力は、約-500MPa~約+500MPaの間であることがあり、しばしば(300mmのウエハについては)約200μm~400μmの間の、場合によってはさらに大きな曲がりをもたらす。
ウエハの裏側に堆積させる材料は、さまざまな実施形態では、誘電体材料であってよい。場合によっては、酸化物および/または窒化物(たとえば酸化ケイ素/窒化ケイ素)を使用する。使用してよいケイ素含有反応物の例は、シラン、ハロシラン、およびアミノランを含むが、それらに限定されない。シランは、水素基および/または炭素基を含有するが、ハロゲンを含有しない。シランの例は、シラン(SiH4)、ジシラン(Si26)、およびオルガノシラン、たとえば、メチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、sec-ブチルシラン、テキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシランなどである。ハロシランは、少なくとも1つのハロゲン基を含有し、水素基および/または炭素基を含んでも、含まなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシランは、詳細にはフルオロシランは、本明細書で記述するある種の実施形態では、ケイ素材料をエッチングすることができる反応性ハロゲン化物種を形成することがあるが、プラズマを打ち当てるとき、ケイ素含有反応物は存在しない。特有のクロロシランは、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジメチルクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ-sec-ブチルシラン、t-ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、ケイ素原子に結合した少なくとも1つの窒素原子を含むが、さらにまた水素、酸素、ハロゲン、および炭素を含有してよい。アミノシランの例は、モノー、ジ-、トリ-、およびテトラ-アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、およびSi(NH24)だけではなく、置換されたモノー、ジ-、トリ-、およびテトラ-アミノシラン、たとえば、t-ブチルアミノシラン、メチルアミノシラン、tert-ブチルシランアミン、ビス(三級ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert-ブチルカルバミン酸塩、SiH(CH3)--(N(CH322、SiHCl--(N(CH322、(Si(CH32NH)3などである。アミノシランの別の例は、トリシリルアミン(N(SiH3 3 )である。他の可能性のあるケイ素含有反応物は、テトラエチルオルトケイ酸塩(TEOS)、環状性および非環状性TEOS変異体、たとえば、テトラメトキシシラン(TMOS)、フルオロトリエトキシシラン(FTES)、トリメチルシラン(TMS)、オクタメチルテトラシクロシロキサン(OMCTS)、テトラメチルチクロテトラシロキサン(TMCTSO)、ジメチルジメトキシシラン(DMDS)、ヘキサメチルジシラザン(HMDS)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルシクロトリシロキサン(HMCTSO)、ジメチルジエトキシシランDMDEOS)、メチルトリメトキシシラン(MTMOS)、テトラメチルジシロキサン(TMDSO)、ジビニルテトラメチルジシロキサン(VSI2)、メチルトリエトキシシラン(MTEOS)、ジメチルテトラメトキシジシロキサン(DMTMODSO)、エチルトリエトキシシラン(ETEOS)、エチルトリメトキシシラン(ETMOS)、ヘキサメトキシジシラン(HMODS)、ビス(トリエトキシリル)エタン(BTEOSE)、ビス(トリメトキシリル)エタン(BTMOSE)、ジメチルエトキシシラン(DMEOS)、テトラエトキシジメチルジシロキサン(TEODMDSO)、テトラキス(トリメチルシロキシ)シラン(TTMSOS)、テトラメチルジエトキシジシロキサン(TMDEODSO)、トリエトキシシラン(TIEOS)、トリメトキシシラン(TIMEOS)、またはテトラプロポキシシラン(TPOS)を含む。
例示的窒素含有反応物は、アンモニア、ヒドラジン、アミン(たとえば、炭素を収容できるアミン)、たとえば、メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t-ブチルアミン、ジ-t-ブチルアミン、シクロプロピルアミン、sec-ブチルアミン、シクロブチルアミン、イソアミルアミン、2-メチルブタン-2-アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ-t-ブチルヒドラジン、ならびにアニリン、ピリジン、およびベンジルアミンなどのアミンを含有する芳香族を含むが、それらに限定されない。アミンは、一級、二級、三級、または四級(たとえば、テトラアルキルアンモニウム化合物)であってよい。窒素含有反応物は、窒素以外のヘテロ原子、たとえば、ヒドロキシルアミン、t-ブチルオキシカルボニルアミンを含有することができ、N-t-ブチルヒドロキシルアミンは、窒素含有反応物である。
酸素含有共反応物は、酸素、オゾン、亜酸化窒素、一酸化炭素、一酸化窒素、二酸化窒素、硫黄酸化物、二酸化硫黄、酸素含有炭化水素(Cxyz)、水、それらの混合物などを含む。
これらの反応物の流量は、裏側層を堆積させる反応のタイプに大きく依存する。CVD/PECVDを使用して、裏側層を堆積させる場合、ケイ素含有反応物の流量は、(原子化前で)約0.5mL/分~10mL/分の間、たとえば、約0.5mL/分~5mL/分の間であってよい。窒素含有反応物、酸素含有反応物、または他の共反応物の流量は、約3SLM~25SLMの間、たとえば、約3SLM~10SLMの間であってよい。
ある種の実装形態では、さらに処理した後、裏側層を取り除いてよい。取り除く場合、適合する時期に基板から容易に取り除くことができるように裏側層の組成を選ぶべきである。これに関しては、裏側層の材料(たとえば、誘電体)と下にある基板の材料(たとえば、ケイ素)の間で所望の除去を行う化学的性質に高い選択性がなければならない。
裏側層の最適な厚さは、ウエハの前側の堆積により誘発される応力の量だけではなく、裏側層を堆積させる条件にも依存する。ウエハ内の応力が無視できるようになる(たとえば、約150MPa未満)厚さまで、裏側層を堆積させてよい。これらまたは他の実施形態では、ウエハの曲がりが無視できるようになる(たとえば、約150μm未満の曲がり)厚さまで、裏側層を堆積させてよい。場合によっては、これは、約0.1μm~2μmの間の、たとえば、約0.3μm~2μmの間の、または0.1μm~1μmの間の、または約0.3μm~1μmの間の裏側層厚さに対応する。窒化ケイ素を使用して、裏側層を形成する場合、約0.3μmの厚さを有するフィルムは、約50μm~200μmの曲がりを軽減するのに十分である。上述のように、より高い応力の裏側層を使用して、必要とされる層の厚さを低減してよい。これは、材料を節約し、費用を低減するのに役立つ。裏側堆積技法に関するさらに多くの情報については、本出願と同じ譲受人が所有する、参照により本明細書に組み入れられる米国特許出願公開第14/285,554号明細書を参照してよい。
本実施形態を、処理、装置、システム、機器、または方法などの数多くの様式で実装することができる。いくつかの実施形態について以下で説明する。
フィルムの堆積は、一実施形態ではプラズマ増強化学蒸着(PECVD)システム内で実現される。PECVDシステムは、多くの異なる形態をとってよい。PECVDシステムは、1つまたは複数のウエハを収容し、かつウエハ処理に適した1つもしくは複数のチャンバまたは「反応器」(場合によっては、複数のステーションを含む)を含む。各チャンバは、処理するために1つまたは複数のウエハを収容してよい。1つまたは複数のチャンバは、規定された1つまたは複数の位置に(その位置の内部で動きが、たとえば、回転、振動、または他の攪拌がありで、またはなしで)ウエハを維持する。処理中に反応容器チャンバ内部で一方のステーションから別のステーションへ、堆積を受けるウエハを移送してよい。当然のことながら、フィルム堆積は、単一ステーションで完全に行われてよい、またはフィルムの任意の小部分を任意の数のステーションで堆積させてよい。
処理中、各ウエハは、台座、ウエハチャック、および/または他のウエハ保持装置により所定の位置に保持される。ある種の動作のために、装置は、ウエハを加熱するための加熱プレートなどのヒータを含んでよい。
図1Aは、ウエハ128を処理するために使用する基板処理システム100を例示する。システムはチャンバ102を含む。中央列は、基板128の最上部表面を処理しているときのために、たとえば、最上部表面上にフィルムを形成しているときのために、台座を支持するように構成されている。台座は、本明細書で開示する実施形態によれば、シャワーヘッド台座(「show-ped」)106と呼ばれる。シャワーヘッド104は、シャワーヘッド台座106の真上に配置される。一実施形態では、シャワーヘッド104は、整合ネットワーク125を介して電源122に電気的に連結される。電源122は、制御モジュール120により、たとえばコントローラにより制御される。他の実施形態では、シャワーヘッド104ではなくシャワーヘッド台座106に電力を提供することが可能である。制御モジュール120は、特有のレシピのための処理入力および制御を実行することにより、基板処理システム100を動作させるように構成されている。堆積したフィルムを基板128の最上部表面が受け入れているか、または堆積したフィルムを基板128の最下部表面が受け入れているかどうかに応じて、制御モジュール120は、電力レベル、タイミングパラメータ、処理ガス、ウエハ128の機械的動き、シャワーヘッド台座106からのウエハ128の高さなどのような処理レシピに関して、さまざまな動作入力を設定する。
中央列はまた、リフトピン制御手段により制御されるリフトピンを含むことができる。リフトピンを使用して、シャワーヘッド台座106からウエハ128を引き揚げて、エンドエフェクタがウエハを選び出し、エンドエンドエフェクタにより置かれた後にウエハ128を下げることができるようにする。エンドエフェクタ(図示せず)はまた、スペーサ130の真上にウエハ128を置くことができる。以下で記述するように、スペーサ130は、(ウエハの方を向く)シャワーヘッド104の最上部表面と(ウエハの方を向く)シャワーヘッド台座106の最上部表面の間でウエハ128の制御分離を提供するようにサイズ設定される。
基板処理システム100は、ガス源110に、たとえば、施設からのガス化学物質供給源および/または不活性ガスに接続されたガス多岐管108をさらに含む。基板の最上部表面上に遂行されている処理に応じて、制御モジュール120は、ガス多岐管108を介してガス源110の配送を制御する。選ばれたガスは、次いで、シャワーヘッド104の中に流れ込み、ウエハ128が台座106の真上に載るときにそのウエハ128の方を向くシャワーヘッド104の面との間で画定される空間体積の中に分配される。
基板処理システム100は、ガス源114に、たとえば、施設からのガス化学物質供給源および/または不活性ガスに接続されたガス多岐管112をさらに含む。基板の最下部表面上に遂行されている処理に応じて、制御モジュール120は、ガス多岐管112を介してガス源114の配送を制御する。選ばれたガスは、次いで、シャワーヘッド106の中に流れ込み、ウエハ128がスペーサ130の真上に載るときにウエハ128の下方表面/下側の方を向くシャワーヘッド台座106の面とウエハ128の下方表面/下側の間で画定される空間体積の中に分配される。スペーサ130は、ウエハ128の下方表面への堆積を最適化する分離を提供し、一方では、ウエハの最上部表面の全面にわたる堆積を低減する。一実施形態では、堆積は、ウエハ128の下方表面をターゲットにし、一方では、不活性ガスは、シャワーヘッド104を介してウエハ128の最上部表面上に流れ、それにより、反応物ガスは押されて最上部表面から離れ、シャワーヘッド台座106から提供される反応物ガスをウエハ128の下方表面に向けることが可能になる。
さらに、ガスは事前に混合されても、されなくてもよい。適合した弁の利用および質量流制御機構を採用して、処理の堆積段階およびプラズマ処置段階の間、正しいガスが配送されることを確実にしてよい。処理ガスは、出口を介してチャンバから出る。真空ポンプ(たとえば、1段階または2段階の機械式ドライポンプおよび/またはターボ分子ポンプ)は、処理ガスを外に抜き取り、絞り弁または振り子弁などの閉ループ制御流制限機器により反応器内部で低圧を適切に維持する。
さらにまた、シャワーヘッド台座106の外側領域を丸く囲むキャリアリング124を示す。ウエハ128の最上部表面が処理されているとき、たとえば、ウエハ128の上に材料を堆積しているとき、キャリアリング124は、台座シャワーヘッド台座106の中心内のウエハ支持領域から1段下がったキャリアリング支持領域の真上に置かれているように構成されている。キャリアリング124は、キャリアリング124のディスク構造物の外側縁部側、たとえば、外半径、およびキャリアリング124のディスク構造物のウエハ縁部側、たとえば、ウエハ128が置かれている場所に最も近い内半径を含む。キャリアリング124のウエハ縁部側は、キャリアリング124がスペーサ130により保持されるとき、ウエハ128を持ち上げるように構成されていた複数の接触支持構造物を含む。
図1Bでは、スパイダフォーク132を使用して、キャリアリング124をその処理高さに(すなわち、ウエハ128の下方表面で堆積させるために)持ち上げて、維持する。したがって、キャリアリング124は、ウエハ128と共に持ち上げられ、たとえばマルチステーションシステムで別のステーションまで回転させることができる。
図2は、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図を例示する。図1Aおよび図1Bの実施形態は、4つのチャンバステーションを有する図2および図3のチャンバ102内に実装することができるチャンバ102を例示する。図2および図3は、(たとえば、例示するために最上部チャンバ部分を取り除いた)チャンバ部分の上面図を提供し、4つのステーションは、スパイダフォーク132によりアクセスされる。各スパイダフォーク132またはフォークは、シャワーヘッド台座106の両側の一部分の周りにそれぞれ位置決めされた第1のアームおよび第2のアームを含む。この図では、スパイダフォーク132は、キャリアリング124の下方にあることを知らせるために、破線で描かれている。スパイダフォーク132は、係合および回転機構220を使用して、ステーションからキャリアリング124を(すなわち、キャリアリング124の下方表面から)同時に引き揚げて,持ち上げ、次いで、それぞれのウエハ128上でプラズマ処理、処置、および/またはフィルム堆積をさらに行うことができるように、少なくとも1つまたは複数のステーションを回転させ、その後、次の場所までキャリアリング124を下げる(この場合、キャリアリングの少なくとも1つがウエハ128を支持する)ように構成されている。上記で指摘したように、一実施形態では、スパイダフォーク132を使用して、ウエハ128の裏側で堆積を可能にする高さまでウエハ128を引き揚げることができ、一方では、たとえば図1Bに示すように、ウエハ128の最上部側で堆積を実質的に防止する。
図3は、内向きのロードロック148および外向きのロードロック140を伴うマルチステーション処理ツールの、ある実施形態の概略図を示す。ロボット142は、大気圧で大気ポート144を介してポッド150を通してロードされたカセットから内向きのロードロック148の中に基板128を動かすように構成されている。内向きのロードロック148は、大気ポート144が閉じたとき、ポンプで下方に送り込まれてよいように、真空源(図示せず)に連結される。内向きのロードロック148はまた、処理チャンバ102に接続して動作するチャンバ移送ポート146を含む。その結果、チャンバ移送ポート146が開いたとき、別のロボット(図示せず)は、処理するために内向きのロードロック148から第1の処理ステーションのシャワーヘッド台座106まで基板を動かしてよい。
描いた処理チャンバ102は、図3に示す実施形態で1~4の番号を付けた4つの処理ステーションを備える。いくつかの実施形態では、処理チャンバ102は、基板が真空破壊および/または空気暴露を受けることなく処理ステーションの間でキャリアリング124を使用して移送されてよいように、低圧環境を維持するように構成されていてよい。図3に示す処理ステーションごとに裏側堆積を行うべきときに処理ガスを配送するように構成されているシャワーヘッド台座106を有する。裏側堆積中に、スペーサまたはスパイダフォークのうちどちらを使用してシャワーヘッド台座106から離してウエハを引き揚げようと、シャワーヘッド104は、基板の最上部表面上に不活性ガスを供給して、ウエハ128の最上部表面上に堆積を防止する、または低減するように構成されている。
図3はまた、処理チャンバ102内部でウエハを移送し、かつ裏側堆積中にウエハ128を持ち上げるためのスパイダフォーク132を描く。以下でより詳細に記述するように、スパイダフォーク132はまた、回転して、一方のステーションから別のステーションへウエハを移送可能にすることができる。移送は、スパイダフォーク132が外側の下面からキャリアリング124を持ち上げることを可能にすることにより行われ、外側の下面は、次いで、ウエハを持ち上げ、次いで、ウエハおよびキャリア124を一緒に次のステーションまで回転させる。一実施形態では、スパイダフォーク132は、処理中の高レベルの熱に耐えるセラミック材料から作られる。
他の実施形態では、スパイダフォーク132を使用して、ウエハを持ち上げて移送する代わりに、パドルタイプの構造物もまた、ウエハを持ち上げて移送するように機能することができる。パドルは、スパイダフォーム132が置かれている方法に類似して、ステーションの間に配置することができ、同じ方法で機能することができる。したがって、理解を容易にするために、スパイダフォーム132への言及は、(たとえば、裏側ウエハ堆積中の)制御された持上およびステーション間の移送を提供することができるパドル構成に同じく適用されることを理解されたい。
大まかに言って、本明細書で開示する実施形態は、システムが動的制御を用いてウエハの選択した側(前および/または裏)にPECVDフィルムを堆積させるためにある。一実施形態は、容量結合PECVDシステムを画定するための2重ガス流通電極を含む。システムは、ガス流通シャワーヘッド104およびシャワーヘッド台座106を含む。一実施形態では、ガス流通台座(すなわち、シャワーヘッド台座)は、ウエハの裏側で堆積を可能にするシャワーヘッドと台座の組み合わせである。電極の幾何形状は、たとえば、ガス混合プレナム、穴、穴パターン、ガスジェット防止バッフルなどのシャワーヘッドの特徴と、たとえば、埋込制御ヒータ、ウエハ持上機構、プラズマ抑制リングを保持する能力、および可動性などの台座の特徴とを組み合わせる。これにより、台座からのRF電力を用いて、またはRF電力なしで、ウエハの移送およびガスの処理が可能になる。
一実施形態では、システムは、電極に対する基板の平行性を厳密に制御できるようにするウエハ持上機構を有する。一実施形態では、これは、2つの電極に平行に持上機構をセットアップし、製造許容範囲を、たとえば、スピンドルまたは持上ピン機構を制御することにより達成される。別の実施形態は、ウエハ持上部を引き揚げることにより規定されるが、この選択肢は、堆積させられるようになる側を動的に制御できるようにしない。
一構成では、持上機構は、処理中(プラズマ前、プラズマ中、プラズマ後)に距離を動的に制御できるようにして、堆積させる側、堆積の輪郭、および堆積フィルム特性を制御する。システムはさらに、反応物が流れる側を選択的に有効化/無効化できるようにする。一方の側は、反応物を流すことができ、他方の側は、不活性ガスを流して、堆積およびプラズマを抑制することができる。
一実施形態では、プラズマ/堆積の必要がないウエハの側の間のギャップを、プラズマを抑制するために必要とされる最小値まで厳密に制御しなければならない(さもなければ、プラズマ損傷ができる)。一例では、このシステムは、約2mm~約0.5mmの最小ギャップを、別の実施形態では、約1mm~約0.05mm(ウエハの曲がりにより制限される)を許容し、そのようなギャップは、制御することができる。一実施形態では、このギャップは、処理条件に依存する。
一実施形態では、ガス流通台座(すなわち、シャワーヘッド台座)は、無制限に、(a)処理前の処理温度までウエハの熱安定化を可能にし、(b)ウエハの裏側の異なる区域で選択的にフィルムを堆積させるためにシャワーヘッド台座上の穴パターンの選択的設計を可能にし、(c)適合したプラズマ封じ込めを達成するために交換可能なリングを取り付けることができ、(d)ウエハを外側の別のチャンバまたはカセットまで移送するための、チャンバ内部の安定したウエハ移送機構、たとえば、リフトピン、RF結合特徴、最小接触アレイを可能にし、(e)たとえば、内部プレナム、バッフル、および多岐管路開口などのガス混合特徴の実装を可能にし、(f)ガス流通台座(すなわち、シャワーヘッド台座)内に区画を追加して、ウエハの裏側の異なる領域に選択的ガス流を可能にし、流量コントローラおよび/または複数のプレナムを介して流量を制御可能にする。
別の実施形態では、ウエハ持上機構を使用する動的ギャップ制御により、(a)両側に堆積させることができるように、堆積物または反応物流通電極から堆積を必要とするウエハの側までの距離を、または中間の距離を制御可能にし、(b)処理中(プラズマ前、プラズマ中、プラズマ後)に持上機構が距離を動的に制御して、堆積側、堆積の輪郭、および堆積フィルム特性を制御可能にする。ウエハの裏側に堆積させるために使用する堆積モードに関する別の実施形態では、フィルム縁部排除制御は、リソグラフィ関連の重ね合わせ問題を回避することが非常に望ましい。このシステムで使用する持上機構は、縁部で堆積物を影で覆う設計特徴を有するキャリアリング124を介して行われる。これは、キャリアリングの設計および形状を介して縁部排除制御を指定する。
図4Aは、シャワーヘッド台座106の縁部領域の横断面図を示す。この図は、キャリアリング内半径124aおよびキャリアリング外半径124bを有するキャリアリング124の横断面表現を提供する。スペーサ130は、シャワーヘッド台座106内の段状領域106gにより画定される縁部でシャワーヘッド台座106の真上に置かれるように構成されている。図4Bに示すように、スペーサ130は、好ましくは、キャリアリング124の下側表面からキャリアリング124のための均一な支持を提供するように間隔を置いて離して配置される。一実施形態では、キャリアリング124は、キャリアリング124の実質的に平坦な表面の下方に伸展する支持伸展部分124cを含む。
キャリア内半径へのウエハ縁部の重なりが低減されるいくつかの実施形態では、基板のノッチが暴露されてよい。ギャップがノッチを覆うのを回避するために、キャリアリングは、ノッチを置くべき領域内に伸展部分を有するように構築されてよい。このようにして、ウエハノッチが暴露されことによる堆積物の非一様性を回避しながら、裏側堆積を行うべき区域を広げることが可能である。したがって、キャリアリング124の幾何形状を調節することにより、さまざまなサイズの縁部排除(edge exclusion、EE)を取り扱うことができる。
支持伸展部分124cは、スペーサ130の最上部表面の中に画定される支持表面内部で嵌合し、置かれるように構成されている。支持表面は、支持伸展部分124cのための相補嵌合表面を提供し、その結果、キャリアリング124は、スペーサ130により支持されたとき、スライドできなくなる、または動かなくなる。図4Bには3つのスペーサ130を示すが、シャワーヘッド台座106の表面と実質的に平行にキャリアリングを支持することができ、かつシャワーヘッド台座106の最上部表面から間隔を置いて離して配置された関係で配置される基板128を支持するための間隔が画定される限り、任意の数のスペーサを提供してよいことが想定される。
シャワーヘッド台座106の最上部表面は、動作中にガスの均一な分配および出力を提供するために、表面全体に分布した穴パターン106aを含むことがさらに示されている。一実施形態では、穴パターン106aは、シャワーヘッド台座106の最上部表面の中心から始まり、シャワーヘッド台座106の外周まで伸展する複数の同心リングの形で分布する。穴106aの少なくとも1つのリングは、穴パターンの縁部穴領域107に提供され、縁部穴領域107内で画定される開口部は、好ましくは、シャワーヘッド台座106の表面に対して非垂直にガスを提供するように角度をなす。
一例では、縁部穴領域107内の開口部が画定される角度または傾斜は、シャワーヘッド台座106の中心から離れる方向に傾く、または角度をなすように規定される。一実施形態では、角度は、水平方向からほぼ45°である。他の実施形態では、角度は、水平方向から20°~約80度の間で変えることができる。一実施形態では、縁部穴領域107内に角度をなす開口部を提供することにより、基板128の裏側堆積中に処理ガスを追加で分配することができる。一実施形態では、穴パターン106aの残りの開口部106dは、シャワーヘッド台座106の表面に実質的に垂直に配向され、基板128の下側に向けられる。
図4Bは、基板128がキャリアリング124により保持されるとき、基板128縁部は、キャリアリング124のキャリアリング内半径124aに、より近い縁部領域上に置かれることを例示する。他の図でより詳細に示すように、基板128の最上部表面の方を向くシャワーヘッド104の表面は、スペーサ130を使用して位置決めされるとき、基板128の裏側への堆積を行っているモードの間、堆積を防止するほどの近さに実質的にある。
例として、基板128の最上部とシャワーヘッド104の表面の間の距離は、好ましくは約2mm~0.5mmの間であり、いくつかの実施形態では、ウエハの曲がりに応じて約1mm~約0.5mmの間である。すなわち、ウエハが実質的に曲がった場合、分離は、0.5mmよりも大きくなる。ウエハが実質的にまだ曲がっていない場合、分離は、0.5mm未満とすることができる。一実施形態では、材料の層を用いて基板の裏側に堆積させているとき、基板の最上部側での堆積を防止するように、分離は最小であることが好ましい。一実施形態では、シャワーヘッド104は、基板の裏側に堆積させており、かつ堆積ガスがシャワーヘッド台座106により供給されているとき、その間に基板128の最上部側の全面にわたり不活性ガス流を供給するように構成されている。
図5Aは、シャワーヘッド台座106の真上に位置決めされたシャワーヘッド104を含むプラズマ処理システムのある例を示す。この例では、スペーサ130は、キャリアリング124を支持していることが示され、支持伸展部分124cは、スペーサ130の凹状領域内部で保持されている。上記で指摘したように、スペーサ130は、その上で支持されているキャリアリング124およびウエハ128をシャワーヘッド台座106の表面から離して上昇させる分離距離でキャリアリング124を保持するように構成されている。
このようにして、ウエハ128の最上部表面は、分離を低減してシャワーヘッド104の露出表面104aに実質的に近く置かれる。上述のように、その低減した分離は、好ましくは、約2mm~0.5mmの間であり、いくつかの実施形態では、ウエハの曲がりに応じて、約1mm~約0.5mmの間である。すなわち、ウエハが実質的に曲がった場合、分離は、0.5mmよりも大きくなる。ウエハが実質的にまだ曲がっていない場合、分離は、0.5mm未満とすることができる。追加で、シャワーヘッド104は、シャワーヘッド台座106により反応物および処理ガスを供給することにより裏側堆積が処理されているモード中に、キャリアリング124により支持されているウエハの最上部表面上に流される不活性ガスを供給するように構成されている。
シャワーヘッド台座106の表面の全体にわたって穴パターン106aを画定する複数の開口部106dをさらに示す。縁部穴領域107もまた示され、縁部穴領域は、シャワーヘッド台座106の外側縁部に向けて処理ガスを提供するように、かつキャリアリング124により支持されている基盤の下側の縁部に、より近く処理ガスを提供するように角度をなす、円周に配列された少なくとも1列の穴を含むことができることを示す。
図5Bは、図5Aのプラズマ処理システムの横断面図を例示する。この例では、シャワーヘッド台座106の開口部106d、およびシャワーヘッドの開口部104dは、それぞれプレナム領域306および304から外への経路を提供することが示されている。プレナム306および304は、シャワーヘッド台座106およびシャワーヘッド104の中に導入されたガスの分配を支援するために提供される。バッフル206は、シャワーヘッド台座106内に配置され、シャワーヘッド台座106の中に入るガス侵入経路312に沿って位置決めされる。バッフル206は、シャワーヘッド台座106のプレナム306の中に導入されたガスの分配を支援する。バッフル204は、シャワーヘッド104の中に配置され、シャワーヘッド104の中に入るガス侵入経路308に沿って位置決めされる。バッフル204は、シャワーヘッド104のプレナム304の中に導入されたガスの分配を支援する。
シャワーヘッド台座106のガス侵入経路312に連結したガス多岐管112をさらに示す。ガス多岐管112に連結したガス源114を示す。ガス源114は、1つまたは複数の異なるタイプのガスをシャワーヘッド台座106に提供するように構成されている。例として、ガスは、キャリアリング124がスペーサ130により支持されるとき、基板128の裏側の上に堆積させるために使用する反応ガスとすることができる。一実施形態では、シャワーヘッド104が窒素(N2)パージガスの流れを配送する間、処理ガスを、たとえば、シラン(SiH4)+アンモニア(NH3)を流すことにより、シャワーヘッド台座106を使用して裏側材料層を堆積させることができる。
別の例示的裏側堆積処理は、SIH4/N2Oの流量および電力を変えることにより、0MPa~-400MPaまでの範囲にわたる応力を与えるように調節することができるSiH4+N2O化学物質とすることができる。さらに、SiH4+NH3化学物質を用いるSiNフィルムは、-1200MPa~+1200MPaまでの応力を提供することができると考えられる。TEOS化学物質を用いるSiO2処理は、+200MPa~-400MPaまでの範囲にわたる応力を提供することができる。したがって、化学物質、ガスを適用する場所、および厚さを選択することにより、層の前側堆積により引き起こされた応力を戦略的に打ち消して、ウエハ/基板の反りを低減する、または回避することが可能である。
さらに、上記で指摘したように、シャワーヘッド104によりパージガスを配送する間、シャワーヘッドと基板128の最上部表面の間のギャップは、最小になる、たとえば、約0.5mm~約5mmの間になるはずである。ウエハが大きく曲がりすぎた場合、分離は、0.5mmよりも大きくなる可能性があるが、好ましくは、5mm未満、一実装形態では、約3mm未満、または約2mm未満、または約1mm未満になる可能性がある。
SiH+NHを(シャワーヘッド台座106の開口部106dを介して)流すと、基板がシャワーヘッド台座106の最上部表面と間隔を置いて離して配置された関係でキャリアリング124により保持される間、SiN/SiOフィルムの層は、基板128の裏側に堆積する。同じチャンバ内で最上部側堆積を行っているモードでは、シャワーヘッド台座106は、台座として動作し、開口部106dの外にガスを流すことはない。この構成では、選んだレシピに応じて、基板128の最上部表面上に、異なるタイプの材料を堆積させることができる。その結果、裏側堆積は、たとえば窒化物、酸化物のフィルムにより誘発される高い応力に対処することが可能になる。すなわち、裏側堆積は、最上部側堆積中に誘発された応力を補償し、ウエハの曲がり低減を支援する。
ガス侵入経路308に連結したガス多岐管108は、ガス源110への接続を具備する。ガス侵入経路308は、バッフル204およびプレナム304に通じている、シャワーヘッド104の中にガスを供給するためのチャネルを提供する。ガス源110は、遂行されている処理に応じて、異なるタイプのガスを提供することができる。例として、基板128に対して裏側堆積を行っている場合、シャワーヘッド104は、基板128の最上部表面上に堆積を防止するように、基板128の最上部表面上に流れる不活性ガスを配送するように構成されている。
シャワーヘッド104は、裏側表面に堆積させているとき、最上部表面上に堆積ガスが漏れるのを防止するように、異なる流量でガスを供給するように構成することができる。最上部表面堆積を処理中である場合、基板128は、シャワーヘッド台座106の表面の上まで下げられ、スペーサ130は取り除かれる。この構成では、最上部表面堆積は、シャワーヘッド104の中に導入された処理ガスを利用して進行することができる。この段階の間、処理ガスは、シャワーヘッド台座106によって導入されず、シャワーヘッド台座は、標準的支持チャックまたは台座として動作する。したがって、シャワーヘッド台座106は、堆積させている表面に、たとえば、基板128の最上部表面または裏側表面に応じて、複数のモードで動作することができる。
図6Aは、プラズマ処理システムの別の実施形態を例示する。この実施形態では、スペーサ130を利用して、シャワーヘッド台座106の表面の上方の適合した高さまでキャリアリング124を引き揚げない。代わりに、スパイダフォーク132を利用して、制御可能な高さにキャリアリング124を引き揚げて維持し、その結果、キャリアリング124により支持されているウエハ128の裏側堆積は、シャワーヘッド台座106の開口部106dを通して導入される反応ガスによって行うことができる。上記で論じたように、シャワーヘッド台座106は、シャワーヘッド台座106の中心から縁部まで伸展する、同心円の形に配列された複数の開口部106dを含む。
開口部の1つまたは複数の同心円を含むことができる外側縁部領域107は、キャリアリング124により保持されたとき、基板128の下側の外側縁部の方を向く、角度をなす反応ガス出力を提供するように配列することができる。例として図2および図3にスパイダフォーク132を示す。キャリアリング124を取り扱うための代替方法もまた採用することができることを理解されたい。たとえば、ステーション間に配列されたパドル支持物をさらにまた使用して、キャリアリングを取り扱い、適合する高さまでキャリアリングを引き揚げて、シャワーヘッド台座106の最上部表面と基板128の下側の間の分離距離を達成することができる。大まかに言えば、スペーサ130、およびスパイダフォーク132またはパドルは、シャワーヘッド台座106から間隔を置いて離して配置された関係でキャリアリング124(および基板が存在するときには基板)を保持するための基板支持物を提供する。
一実施形態では、図1A,図4A~図5Bによる基板支持物は、シャワーヘッド台座から間隔を置いて離して配置された関係で基板を保持するためにあり、複数のスペーサ130により画定される。スペーサは、キャリアリングを保持するための支持表面を有する少なくとも3つのスペーサを含み、キャリアリングは、基板が存在するときには間隔を置いて離して配置された関係で基板を保持するように構成されている。
図1B、図6A、および図6Bによれば、シャワーヘッド台座から間隔を置いて離して配置された関係で基板を保持するための基板支持物は、スパイダフォークまたはパドルの少なくとも一方により画定され、スパイダフォークまたはパドルは、キャリアリングを保持するように構成されてい、キャリアリングは、基板が存在するときには間隔を置いて離して配置された関係で基板を保持するように構成されている。
図7Aは、シャワーヘッド台座106の上面図を例示し、穴パターン106aは、シャワーヘッド台座106の中心からシャワーヘッド台座106の穴パターン106の外周まで伸展する開口部106dの同心円を有する。図示するように、開口部106dの少なくとも1つの同心円は、縁部穴領域107に沿って画定される。上述のように、縁部穴領域107は、中心から離れて角度をなすように開口部が構築され、その結果、間隔を置いて離して配置した関係でシャワーヘッド台座106の上方でキャリアリング124により保持されるとき、基板128の縁部の方にガスを向けることができる。さらにまた、この例では、図6Aおよび図6Bに示すように、分離距離までキャリアリング124を持ち上げるために利用するスパイダフォーク132を示す。
一実施形態では、シャワーヘッド台座106はまた、その中に一体化された、最上部側堆積中に加熱機能を提供するヒータを含む。ヒータ要素は、裏側堆積中にガスを配送する開口部106dに通り道を依然として提供しながら、均一な加熱分配を提供するように分布させることができる。
例として上述のように、基板128の最上部とシャワーヘッド104の表面104aの間の分離距離は、好ましくは約2mm~0.5mmの間であり、いくつかの実施形態では、ウエハの曲がりに応じて約1mm~約0.5mmの間である。すなわち、ウエハが実質的に曲がった場合、分離は、0.5mmよりも大きくなる。ウエハが実質的にまだ曲がっていない場合、分離は、一実施形態では0.5mm未満とすることができ、シャワーヘッド台座106の上方に分離距離で保持されたときの基板の裏側とシャワーヘッド台座106の間の分離距離は、約8mm~約14mmの間であり、一例では、基板128の裏側とシャワーヘッド台座106の最上部表面の間の分離距離は、約10mmである。
いくつかの実施形態では、シャワーヘッド台座106内に開口部を形成する同心リングはまた、異なるゾーンに分離することができる。たとえば、外側ゾーンは、異なるガスの組合せを基板の裏側の中心部に供給している間、基板の裏側に特定のタイプの材料を堆積させるための1つのタイプのガスを適用するように画定することができる。開口部106dの異なるゾーンを通して異なるガスを供給することにより、異なるタイプの材料、異なる厚さ、および異なるゾーンを伴う基板裏側の異なる領域をターゲットとすることが可能である。
図7Bおよび図7Cは、異なるゾーンをどのように画定することができるかを例示する。図7Bは、用途に応じて中に、または外に調節することができる内部ゾーン、および外部ゾーンを示す。図7Cは、3つのゾーンを、たとえば、内部ゾーン、中間ゾーン、および外部ゾーンを例示する。
いくつかの実施形態では、中央領域開口部からガスを流していない間、いくつかの同心リングを含むことができる外部ゾーンから堆積ガスを出力することができる。こうすることにより、基板の裏側に堆積した材料の厚さに影響を及ぼし、基板の最上部表面上の材料層により引き起こされる反りと戦略的に立ち向かうことが可能である。いくつかの実施形態では、シャワーヘッド台座106は、同心ゾーン以外の異なる配向のゾーンを含むことができる。
他の配向のゾーンはまた、シャワーヘッド台座106内部に配置された異なるプレナムを用いて個々に制御することができる異なる四分円内に、パイの異なるひと切れ内に、異なる区域内などにゾーンを提供することを含むことができる。それに応じて、シャワーヘッド台座106内に配置された開口部106dおよび穴パターン106aは、異なるゾーンに同じまたは異なるガスを配送して、基板の裏側で異なる厚さの堆積に影響を及ぼして、基板の最上部表面または最上部側で製作されている複数の層により引き起こされる基板の反りに立ち向かうように設計することができることが想定される。
図8は、上記で記述したシステムを制御するための制御モジュール800を示す。一実施形態では、図1の制御モジュール120は、例示の構成要素のいくつかを含んでよい。たとえば、制御モジュール800は、プロセッサ、メモリ、および1つまたは複数のインタフェースを含んでよい。制御モジュール800を採用して、検知した値に一部は基づき、システム内の機器を制御してよい。単なる例として、制御モジュール800は、検知した値および他の制御パラメータに基づき、弁802、フィルタヒータ804、ポンプ806、および他の機器808の1つまたは複数を制御してよい。制御モジュール800は、単なる例として、圧力計810、流量計812、温度センサ814、および/または他のセンサ816から、検知した値を受信する。さらにまた、制御モジュール800を採用して、前駆物質配送およびフィルム堆積中の処理条件を制御してよい。制御モジュール800は、典型的には1つまたは複数の記憶装置、および1つまたは複数のプロセッサを含む。
制御モジュール800は、前駆物質配送システムおよび堆積装置の活動状態を制御してよい。制御モジュール800は、処理タイミング、配送システム温度、フィルタの全面にわたる圧力差、弁の位置、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、RF電力レベル、ウエハチャックまたは台座の位置、および特定の処理の他のパラメータを制御するための命令の組を含むコンピュータプログラムを実行する。制御モジュール800はまた、圧力差を監視し、1つまたは複数の経路から1つまたは複数の他の経路まで蒸気前駆物質配送を自動的に切り替えてよい。いくつかの実施形態では、制御モジュール800に関連する記憶装置に記憶した他のコンピュータプログラムを採用してよい。
典型的には、制御モジュール800に関連するユーザインタフェースが存在する。ユーザインタフェースは、表示装置818(たとえば、装置および/または処理の条件についての表示画面および/またはグラフィカルソフトウェア表示)、およびポインティング機器、キーボード、タッチ画面、マイクロホンなどのようなユーザ入力機器820を含んでよい。
一連の処理で前駆物質の配送、堆積、および他の処理を制御するためのコンピュータプログラムを、任意の従来のコンピュータ可読プログラミング言語で、たとえば、アセンブラ言語、C、C++、パスカル、フォートランなどで書くことができる。プロセッサは、コンパイルしたオブジェクトコードまたはスクリプトを実行して、プログラムで識別されたタスクを遂行する。
制御モジュールパラメータは、たとえばフィルタ圧力差、処理ガスの組成および流量、温度、圧力、RF電力レベルおよび低周波RF周波数などのプラズマ条件、冷却ガス圧力、ならびにチャンバ壁温度などの処理条件に関係がある。
多くの異なる方法でシステムソフトウェアを設計または構成してよい。たとえば、本発明の堆積処理を行うのに必要なチャンバ構成要素の動作を制御するために、さまざまなチャンバ構成要素サブルーチンまたは制御オブジェクトを書いてよい。この目的のためのプログラムまたはプログラムの断片の例は、基板位置決めコード、処理ガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードを含む。
基板位置決めプログラムは、基板を台座またはチャックの上にロードして、基板とガス入口および/またはターゲットなどのチャンバの他の部分との間の間隔を制御するために使用するチャンバ構成要素を制御するためのプログラムコードを含んでよい。処理ガス制御プログラムは、ガス組成および流量を制御するためのコード、および任意選択で、チャンバ内の圧力を安定化するために堆積前にチャンバの中にガスを流すためのコードを含んでよい。フィルタ監視プログラムは、測定した1つもしくは複数の差と所定の1つもしくは複数の値を比較するコード、および/または経路を切り替えるためのコードを含む。圧力制御プログラムは、たとえばチャンバの排気システム内の絞り弁を加減することによりチャンバ内の圧力を制御するためのコードを含んでよい。ヒータ制御プログラムは、圧力配送システム、基板、および/またはシステムの他の部分内の構成要素を加熱するための加熱ユニットへの流れを制御するためのコードを含んでよい。代わりに、ヒータ制御プログラムは、ヘリウムなどの伝熱ガスをウエハチャックに配送することを制御してよい。
堆積中に監視してよいセンサの例は、質量流制御モジュール、圧力計810などの圧力センサ、および配送システム、台座、またはチャック内に位置する熱電対(たとえば、温度センサ814)を含むが、それらに限定されない。これらのセンサから得られるデータを用いて、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用して、所望の処理条件を維持してよい。前述は、単一チャンバまたはマルチチャンバの半導体処理ツールで本発明の実施形態を実装することについて記述している。
例示および記述するために、実施形態に関して前記の記述を提供してきた。網羅的であることも、本発明を限定することも、意図するものではない。特定の実施形態の個々の要素または特徴は、一般にその特定の実施形態に限定されるのではなく、適用可能な場合には、交換可能であり、具体的に示すことも、記述することもない場合でさえ、選択した実施形態で使用することができる。本発明を、さらにまた多くの点で変えてよい。そのような変形形態は、本発明を逸脱するとみなされるべきではなく、そのような修正形態はすべて、本発明の範囲に含まれるものとする。
前述の実施形態について、理解を明確にするためにいくらか詳細に記述してきたが、添付の特許請求の範囲内で、一定の変更および修正を実施することができることは明らかであろう。したがって、本実施形態は、例示的であり、制限するものではないと考えるべきであり、実施形態は、本明細書で示す詳細に限定されるべきではなく、実施形態の範囲内で、および特許請求の範囲の均等物の範囲内で修正されてよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
プラズマ処理システムであって、
チャンバと、
コントローラと、
前記チャンバ内に配置されたシャワーヘッドと、
前記コントローラからの制御信号に応答して、第1のガス源から第1のガスを提供するために前記シャワーヘッドに接続された第1のガス多岐管と、
前記チャンバ内に配置され、前記シャワーヘッドに対向する方向に向けられるシャワー台座と、
前記コントローラからの制御信号に応答して、第2のガス源から第2のガスを提供するために前記シャワー台座に接続された第2のガス多岐管と、
前記シャワー台座から間隔を置いて離して配置された関係で基板を保持するための基板支持物と、
前記シャワーヘッドに電力を提供して、前記基板が前記チャンバ内に存在するときに前記シャワー台座から前記間隔を置いて離して配置した関係で前記基板支持物により保持される前記基板の裏側にフィルムを堆積させるためのプラズマを発生させるための無線周波数(RF)電源と
を備えるプラズマ処理システム。
適用例2:
適用例1のプラズマ処理システムであって、前記シャワー台座は、前記第2のガス源が提供する前記第2のガスを出力するための垂直開口部からなる穴パターンを含み、前記穴パターンは、前記シャワー台座の中心から前記シャワー台座の外半径まで伸展する複数の円形同心リングを画定し、前記シャワー台座の少なくとも外部リングは、前記シャワー台座の前記中心から離れた方向に垂直から離れた角度をなす、複数の角度をなす開口部を含むプラズマ処理システム。
適用例3:
適用例1のプラズマ処理システムであって、前記シャワー台座は、前記シャワー台座の本体内部でバッフルに通じるガス侵入経路を含み、前記バッフルは、前記シャワー台座の表面上に分布した穴パターンに接続する複数の開口部に接続して動作する内部プレナムに接続するプラズマ処理システム。
適用例4:
適用例1のプラズマ処理システムであって、前記シャワー台座は、前記シャワー台座の中心から前記シャワー台座の外半径まで伸展する複数の円形同心リングにより画定される穴パターンを含み、前記シャワー台座の少なくとも外部リングは、前記シャワー台座の前記中心から離れた方向に垂直から離れて傾斜する、複数の角度をなす穴開口部を含むプラズマ処理システム。
適用例5:
適用例4のプラズマ処理システムであって、前記シャワー台座は、前記シャワー台座の本体内部でバッフルに通じるガス侵入経路を含み、前記バッフルは、前記シャワー台座の表面を通して形成された前記穴パターンに通じる複数の開口部に至る経路を提供する内部プレナムに接続して動作するプラズマ処理システム。
適用例6:
適用例5のプラズマ処理システムであって、前記シャワーヘッドは、前記シャワーヘッドの本体内部でバッフルに通じるガス侵入経路を含み、前記バッフルは、前記シャワーヘッドの表面全体にわたり形成された穴パターンに通じる複数の開口部に至る経路を提供する内部プレナムに接続して動作するプラズマ処理システム。
適用例7:
適用例1のプラズマ処理システムであって、前記シャワー台座から間隔を置いて離して配置された関係で前記基板を保持するための前記基板支持物は、複数のスペーサにより画定され、前記スペーサは、キャリアリングを保持するための支持表面を有する少なくとも3つのスペーサを含み、前記キャリアリングは、前記基板が存在するときには前記間隔を置いて離して配置された関係で前記基板を保持するように構成されているプラズマ処理システム。
適用例8:
適用例7のプラズマ処理システムであって、前記キャリアリングは、内半径および外半径を伴う円盤形状を有し、前記内半径に近いリップは、前記基板を支持するように構成されており、前記キャリアリングの下側表面は、前記キャリアリングが前記複数のスペーサの上で支持されるとき、少なくとも3つの前記スペーサと嵌合するための少なくとも3つの支持伸展部分を有し、前記複数のスペーサは、前記シャワー台座の外周にわたり置かれているように構成されているプラズマ処理システム。
適用例9:
適用例8のプラズマ処理システムであって、前記複数のスペーサの各々は、前記キャリアリングの真上で支持されるときの前記キャリアリングまたは前記基板の上側表面と前記シャワーヘッドの表面との間の分離を低減するように構成された設定高さを有し、前記分離は、約2mm~約0.5mmの間に設定されるプラズマ処理システム。
適用例10:
適用例9のプラズマ処理システムであって、前記基板の前記裏側上にフィルムを堆積させるための処理モードは、前記基板が前記分離で置かれたとき、前記コントローラにより活動化され、前記第1のガスは、不活性ガスを流し、前記シャワー台座により提供される前記第2のガスは、前記基板の前記裏側上に前記フィルムを形成するために反応ガスを流すプラズマ処理システム。
適用例11:
適用例1のプラズマ処理システムであって、前記シャワー台座から間隔を置いて離して配置された関係で前記基板を保持するための前記基板支持物は、スパイダフォークまたはパドルの一方により画定され、前記スパイダフォークまたは前記パドルは、キャリアリングを保持するように構成されており、前記キャリアリングは、前記基板が存在するときには前記間隔を置いて離して配置された関係で前記基板を保持するように構成されているプラズマ処理システム。
適用例12:
適用例10のプラズマ処理システムであって、前記スパイダフォークまたは前記パドルは、前記キャリアリングの真上で支持されるときの前記キャリアリングまたは前記基板の上側表面と前記シャワーヘッドの表面との間の分離を設定するように構成されており、前記分離は、約2mm~約0.5mmの間に設定されるプラズマ処理システム。
適用例13:
適用例12のプラズマ処理システムであって、前記基板の裏側上にフィルムを堆積させるための処理モードは、前記基板が前記分離で置かれたとき、前記コントローラにより活動化され、前記第1のガスは、不活性ガスを流し、前記シャワー台座により提供される前記第2のガスは、前記基板の前記裏側上に前記フィルムを形成するために反応ガスを流すプラズマ処理システム。
適用例14:
シャワーヘッド、および前記シャワーヘッドの下方に配置されたシャワー台座を有するプラズマ処理システムで基板を処理するための方法であって、
前記シャワーヘッドと前記シャワー台座の間で、前記基板を支持するように構成されたキャリアリングを提供するステップと、
前記基板の裏側を向く方向に前記シャワー台座から外に処理ガスを流すステップと、
前記プラズマ処理システムの電極に電力を印加するステップであって、前記電力は、前記シャワー台座の最上部表面と前記基板の前記裏側の間にある領域内にプラズマを作り出すように構成されており、前記プラズマは、前記基板の前記裏側の上に堆積した前記処理ガスから材料層を作り出すように構成されているステップと、
前記基板の最上部側を向く方向に前記シャワーヘッドから外に不活性ガスを流すステップであって、前記不活性ガスを流す前記ステップは、前記処理ガスを流す前記ステップの間、進行するように構成されており、その結果、前記処理ガスは、前記基板の前記裏側の上に前記材料層を堆積させる間、前記最上部側の上への堆積を防止するために、前記不活性ガスを使用して前記基板の前記最上部側からパージされるステップと
を備える方法。
適用例15:
適用例14の方法であって、前記シャワー台座は、開口部を画定する複数の穴を含み、
前記開口部は、
前記シャワー台座の縁部の近傍に伸展する中心領域で、前記基板の前記裏側を向く方向に、前記シャワー台座の表面に垂直に処理ガスの前記流れを向け、
前記シャワー台座の前記縁部内に配列された複数の開口位部を使用して、前記中心領域から離れる角度で処理ガスの前記流れを向ける、ように構成されている方法。
適用例16:
適用例14の方法であって、前記シャワー台座は、異なる流量で前記処理ガスを配送するための複数のゾーンを含み、または前記複数のゾーンの各々に異なる処理ガスを適用するための前記複数のゾーンを含み、前記異なる処理ガスは、前記基板の前記裏側の上に異なる材料堆積ゾーンを画定するように構成されている方法。
適用例17:
適用例14の方法であって、前記キャリアリングの最上部表面は、前記シャワーヘッドの最上部表面から少なくとも0.5mmになるように置かれ、前記シャワー台座から間隔を置いて離して配置される方法。
適用例18:
適用例14の方法であって、前記キャリアリングは、複数のスペーサにより支持され、その結果、前記キャリアリングは、処理ガスを流す前記ステップの間、前記シャワーヘッドの近傍に置かれ、処理空間は、前記基板の裏側と前記シャワー台座の前記最上部表面の間で画定される方法。
適用例19:
適用例14の方法であって、前記処理ガスを流す前記ステップを遂行している間、スパイダフォークを使用して、前記シャワー台座の前記最上部表面から分離距離だけ離してキャリアリングを持ち上げる方法。
適用例20:
適用例14の方法であって、前記電力は、前記シャワーヘッドまたは前記シャワー台座の一方に印加される方法。

Claims (25)

  1. プラズマ処理システムであって、
    チャンバと、
    コントローラと、
    前記チャンバ内に配置されたシャワーヘッドと、
    前記コントローラからの制御信号に応答して、第1のガス源から第1のガスを提供するために前記シャワーヘッドに接続された第1のガス多岐管と、
    前記チャンバ内に配置され、前記シャワーヘッドの下方に配置されたシャワー台座と、
    前記コントローラからの制御信号に応答して、第2のガス源から第2のガスを提供するために前記シャワー台座に接続された第2のガス多岐管と、
    前記シャワー台座から間隔を置いて離して配置された関係で基板を保持するための基板支持物であって、前記基板支持物は、キャリアリングを保持するための支持表面を有する少なくとも3つのスペーサにより画定され、前記キャリアリングは、前記キャリアリングが存在し、少なくとも3つのスペーサに支持されており、前記基板が存在し、前記キャリアリングに支持されているときに、前記間隔を置いて離して配置された関係で前記基板を保持するように構成されている、基板支持物と、
    前記シャワーヘッドに電力を提供して、前記基板が前記チャンバ内に存在し、前記シャワー台座から前記間隔を置いて離して配置された関係で前記基板支持物により保持されているときに、前記基板の裏側にフィルムを堆積させるためのプラズマを発生させる、無線周波数(RF)電源と
    を備えるプラズマ処理システム。
  2. 請求項1に記載のプラズマ処理システムであって、前記シャワー台座は、
    前記シャワーヘッドに対向する前記シャワー台座の表面に垂直な第1開口部からなる穴パターンであって、前記第1開口部は、前記第2のガス源が提供する前記第2のガスを出力するための構成であり、前記穴パターンは、前記シャワー台座の中心から前記シャワー台座の外半径まで伸展する複数の円形同心リングを画定する穴パターンと
    角度をなす開口部の少なくとも一つの外部リングであって、前記角度をなす開口部は、前記シャワーヘッドに対向する前記シャワー台座の表面に垂直な方向から、前記シャワー台座の前記中心から離れ方向に傾いている外部リングと、を備える、プラズマ処理システム。
  3. 請求項1に記載のプラズマ処理システムであって、
    前記シャワー台座は、前記シャワー台座の本体内部でバッフルに通じるガス侵入経路を含み、
    前記バッフルは、前記シャワー台座の表面にわたり分布した穴パターンに接続する複数の開口部に接続して動作する内部プレナムに接続するプラズマ処理システム。
  4. 請求項に記載のプラズマ処理システムであって、
    前記シャワー台座は、前記シャワー台座の本体内部で第1バッフルに通じる第1ガス侵入経路を含み、
    前記第1バッフルは、前記第1開口部に至る流路を提供する第1内部プレナムに接続して動作するプラズマ処理システム。
  5. 請求項4に記載のプラズマ処理システムであって、
    前記シャワーヘッドは、前記シャワーヘッドの本体内部で第2バッフルに通じる第2ガス侵入経路を含み、
    前記第2バッフルは、前記シャワーヘッドの表面わたり形成された穴パターンに配された複数の第2開口部に至る流路を提供する第2内部プレナムに接続して動作するプラズマ処理システム。
  6. 請求項に記載のプラズマ処理システムであって、前記キャリアリングは、
    内半径および外半径を伴う円盤形状と、
    前記基板を支持するように構成された、前記内半径に近いリップと、
    前記キャリアリングが前記少なくとも3つのスペーサの上で支持されるとき、少なくとも3つの前記スペーサと嵌合するための少なくとも3つの支持伸展部分を有する前記キャリアリングの下側表面であって、前記少なくとも3つのスペーサは、前記シャワー台座の外周にわたり置かれているように構成されているプラズマ処理システム。
  7. 請求項6に記載のプラズマ処理システムであって、前記少なくとも3つのスペーサの各スペーサは、前記キャリアリング支持されるときの前記キャリアリングまたは前記基板の上側表面と前記シャワーヘッドの表面との間の分離を設けるように構成された設定高さを有し、前記分離は、約2mm~約0.5mmの間に設定されるプラズマ処理システム。
  8. 請求項7に記載のプラズマ処理システムであって、前記コントローラは、前記基板が前記分離で置かれたとき、前記基板の前記裏側上にフィルムを堆積させるための処理モードを実行するように構成され、前記処理モードが実行されているとき、前記第1のガスは、不活性ガスであり前記第2のガスは、前記基板の前記裏側上に前記フィルムを形成するため反応ガスである、プラズマ処理システム。
  9. シャワーヘッド、および前記シャワーヘッドの下方に配置されたシャワー台座を有するプラズマ処理システムで基板を処理するための方法であって、
    少なくとも3つのスペーサに支持されているキャリアリングを使用して、前記シャワーヘッドと前記シャワー台座の間で、前記基板を支持するステップと、
    前記基板の裏側を向く方向に前記シャワー台座から外に処理ガスを流すステップであって、前記処理ガスを流す間、前記キャリアリングは、前記シャワーヘッドの近傍に配され、
    処理空間は、前記基板の前記裏側と前記シャワー台座の最上部表面の間で画定される、ステップと、
    前記プラズマ処理システムの電極に電力を印加して、前記シャワー台座の最上部表面と前記基板の前記裏側の間にある領域内にプラズマを作り出すステップであって、前記プラズマは、前記基板の前記裏側の上に堆積した前記処理ガスから材料層を作り出すように構成されているステップと、
    前記処理ガスを流す前記ステップが実行されている間、前記基板の最上部側を向く方向に前記シャワーヘッドから外に不活性ガスを流すステップであってその結果、前記処理ガスは、前記基板の前記裏側の上に前記材料層を堆積させる間、前記不活性ガスにより、前記最上部側の上への前記材料層の堆積を防止されるステップと
    を備える方法。
  10. 請求項9に記載の方法であって、前記シャワー台座は、開口部を画定する複数の穴を含み、
    前記開口部は、
    前記シャワー台座の縁部の近傍に伸展する中心領域で、前記基板の前記裏側を向く方向に、前記シャワー台座の表面に垂直に前記処理ガスの第1部分の流れを向け、
    前記シャワー台座の前記縁部に沿って配列された複数の開口位部を使用して、前記中心領域から離れる角度で前記処理ガスの第2部分の流れを向ける、ように構成されている方法。
  11. 請求項9に記載の方法であって、前記シャワー台座は、異なる流量で前記処理ガスを配送するための複数のゾーンを含み、または前記複数のゾーンの各々から異なる処理ガスを配送するための前記複数のゾーンを含み、前記異なる処理ガスは、前記基板の前記裏側の上に異なる材料堆積ゾーンを画定するように構成されている方法。
  12. 請求項9に記載の方法であって、前記キャリアリングの最上部表面は、前記シャワーヘッドの前記基板と向き合う表面から少なくとも0.5mmになるように置かれ、前記シャワー台座から間隔を置いて離して配置される方法。
  13. 請求項9に記載の方法であって、前記電力は、前記シャワーヘッドまたは前記シャワー台座の一方に印加される方法。
  14. プラズマ処理システムであって、
    チャンバと、
    コントローラと、
    前記チャンバ内に配置されたシャワーヘッドと、
    前記コントローラからの制御信号に応答して、第1のガス源から第1のガスを提供するために前記シャワーヘッドに接続された第1のガス多岐管と、
    前記チャンバ内に配置され、前記シャワーヘッドの下方に位置決めされたシャワー台座と、
    前記コントローラからの制御に応答して、第2のガス源から第2のガスを提供するために前記シャワー台座に接続された第2のガス多岐管と、
    前記シャワー台座から間隔を置いて離して配置された関係で基板を保持するための基板支持物であって、前記基板支持物は、スパイダフォークまたはパドルの一方により画定され、前記スパイダフォークまたは前記パドルの一方は、キャリアリングを保持するように構成されており、前記キャリアリングは、前記キャリアリングが存在し、前記スパイダフォークまたは前記パドルにより支持され、前記基板が存在し、前記キャリアリングにより支持されるときに、前記間隔を置いて離して配置された関係で前記基板を保持するように構成されている基板支持物と、
    前記シャワーヘッドに電力を提供して、前記基板が前記チャンバ内に存在するときに、前記シャワー台座から前記間隔を置いて離して配置した関係で前記基板支持物により保持される前記基板の裏側に、フィルムを堆積させるためのプラズマを発生させるための無線周波数(RF)電源と
    を備えるプラズマ処理システム。
  15. 請求項14に記載のプラズマ処理システムであって、前記シャワー台座は、
    前記シャワーヘッドと対向する前記シャワー台座の表面に垂直な第1開口部の穴パターンであって、前記第1開口部は、前記第2のガス源が提供する前記第2のガスを出力するための構成であり、前記穴パターンは、前記シャワー台座の中心から前記シャワー台座の外半径まで伸展する複数の円形同心リングを画定する、穴パターンと、
    角度をなす開口部の少なくとも一つの外部リングであって、前記角度をなす開口部は、前記シャワーヘッドに対向する前記シャワー台座の表面に垂直な方向から、前記シャワー台座の前記中心から離れる方向に傾いている、外部リングと、
    を備える、プラズマ処理システム。
  16. 請求項15に記載のプラズマ処理システムであって、
    前記シャワー台座は、前記シャワー台座の本体内部で第1バッフルに通じる第1ガス侵入経路を含み、
    前記第1バッフルは、前記第1開口部への流体経路を提供する第1内部プレナムに接続する、プラズマ処理システム。
  17. 請求項16に記載のプラズマ処理システムであって、
    前記シャワーヘッドは、前記シャワーヘッドの本体内部で第2バッフルに通じる第2ガス侵入経路を含み、
    前記第2バッフルは、前記シャワーヘッドの表面にわたって形成された穴パターンに配列された複数の第2開口部への流体経路を提供する第2内部プレナムに接続する、プラズマ処理システム。
  18. 請求項14に記載のプラズマ処理システムであって、
    前記シャワー台座は、前記シャワー台座の本体内部でバッフルに通じるガス侵入経路を含み、
    前記バッフルは、前記シャワー台座の表面にわたって分布した穴パターンに接続する複数の開口部と接続する内部プレナムに接続する、プラズマ処理システム。
  19. 請求項14に記載のプラズマ処理システムであって、前記スパイダフォークまたは前記パドルは、前記キャリアリングの真上で支持されるときの前記キャリアリングまたは前記基板の上側表面と前記シャワーヘッドの表面との間の分離を設定するように構成されており、前記分離は、約2mm~約0.5mmの間に設定される、プラズマ処理システム。
  20. 請求項19に記載のプラズマ処理システムであって、前記コントローラは、前記基板が前記分離で置かれたときに前記基板の裏側上にフィルムを堆積させるための処理モードを活動化するように構成され、前記処理モードが活動するときに、前記第1のガスは不活性ガスであり、前記第2のガスは前記基板の前記裏側上に前記フィルムを形成するための反応ガスである、プラズマ処理システム。
  21. シャワーヘッド、および前記シャワーヘッドの下方に配置されたシャワー台座を有するプラズマ処理システムで基板を処理するための方法であって、
    パドルまたはスパイダフォークにより支持されたキャリアリングを用いて、前記シャワーヘッドと前記シャワー台座との間に基板を支持するステップと、
    前記基板の裏側を向く方向に前記シャワー台座から外に処理ガスを流すステップであって、前記キャリアリングは、前記処理ガスを流す前記ステップが実行されている間、前記パドルまたは前記スパイダフォークを使用して、前記シャワー台座の表面から分離距離だけ離して支持される、ステップと、
    前記シャワー台座の最上部表面と前記基板の前記裏側の間にある領域内にプラズマを作り出すために、前記プラズマ処理システムの電極に電力を印加するステップであって、前記プラズマは、前記基板の前記裏側の上に堆積した前記処理ガスから材料層を作り出すように構成されている、ステップと、
    前記処理ガスを流す前記ステップが実行されている間、前記基板の最上部側を向く方向に前記シャワーヘッドから外に不活性ガスを流し、その結果、前記処理ガスは、前記基板の前記裏側に前記材料層を堆積させる間、前記不活性ガスにより、前記基板の前記最上部側の上への前記材料層の堆積を防止される、ステップと
    を備える方法。
  22. 請求項21に記載の方法であって、前記シャワー台座は、複数の開口部を画定する複数の穴を含み、
    前記複数の開口部は、
    シャワー台座の縁部の近傍に伸展する中心領域で、前記基板の前記裏側を向く方向に、前記シャワー台座の表面に垂直に前記処理ガスの第1部分の前記流れを向け、
    前記シャワー台座の前記縁部に沿って配列された複数の開口部を使用して、前記中心領域から離れる角度で前記処理ガスの第2部分の前記流れを向ける、ように構成されている方法。
  23. 請求項21に記載の方法であって、前記シャワー台座は、異なる流量で前記処理ガスを配送するための複数のゾーンを含み、または前記複数のゾーンの各々から異なる処理ガスを配送するための前記複数のゾーンを含み、前記異なる処理ガスは、前記基板の前記裏側の上に異なる材料堆積ゾーンを画定するように構成されている方法。
  24. 請求項21に記載の方法であって、前記キャリアリングの最上部表面は、前記基板の方を向く前記シャワーヘッドの最上部表面から少なくとも0.5mm以内になるように置かれ、前記シャワー台座から間隔を置いて離して配置される方法。
  25. 請求項21に記載の方法であって、前記電力は、前記シャワーヘッドまたは前記シャワー台座の一方に印加される方法。
JP2020511239A 2017-08-31 2018-08-24 基板の選択した側に堆積させるためのpecvd堆積システム Active JP7303796B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/692,300 2017-08-31
US15/692,300 US10851457B2 (en) 2017-08-31 2017-08-31 PECVD deposition system for deposition on selective side of the substrate
PCT/US2018/048029 WO2019046134A1 (en) 2017-08-31 2018-08-24 PECVD DEPOSITION SYSTEM FOR DEPOSITION ON A SELECTED SIDE OF A SUBSTRATE

Publications (2)

Publication Number Publication Date
JP2020532858A JP2020532858A (ja) 2020-11-12
JP7303796B2 true JP7303796B2 (ja) 2023-07-05

Family

ID=65434865

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020511239A Active JP7303796B2 (ja) 2017-08-31 2018-08-24 基板の選択した側に堆積させるためのpecvd堆積システム

Country Status (8)

Country Link
US (5) US10851457B2 (ja)
EP (1) EP3676418A4 (ja)
JP (1) JP7303796B2 (ja)
KR (7) KR20230060549A (ja)
CN (2) CN115613010A (ja)
SG (1) SG11202001651UA (ja)
TW (3) TWI832722B (ja)
WO (1) WO2019046134A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US20210301402A1 (en) * 2018-08-09 2021-09-30 Tokyo Electron Limited Film forming apparatus and film forming method
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
WO2020190658A1 (en) * 2019-03-15 2020-09-24 Lam Research Corporation Friction stir welding in semiconductor manufacturing applications
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
KR20230156441A (ko) * 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
JP2023509451A (ja) * 2020-01-03 2023-03-08 ラム リサーチ コーポレーション 裏面反り補償堆積のステーション間制御
CN115087758A (zh) 2020-02-11 2022-09-20 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
WO2021262583A1 (en) * 2020-06-25 2021-12-30 Lam Research Corporation Carrier rings with radially-varied plasma impedance
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
CN113388826A (zh) * 2021-06-01 2021-09-14 长江存储科技有限责任公司 一种沉积装置和沉积方法
WO2023141162A1 (en) * 2022-01-21 2023-07-27 Lam Research Corporation Apparatuses for backside wafer processing with edge-only wafer contact related application(s)
CN116716590A (zh) * 2023-04-06 2023-09-08 拓荆科技(上海)有限公司 一种背面沉积腔室及化学气相沉积设备
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
US20150340225A1 (en) 2014-05-22 2015-11-26 Lam Research Corporation Back side deposition apparatus and applications
JP2016219803A (ja) 2015-05-22 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
DE69227575T2 (de) 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19522525A1 (de) * 1994-10-04 1996-04-11 Kunze Concewitz Horst Dipl Phy Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
DE19622402C1 (de) 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) * 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
JP3582330B2 (ja) 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6170496B1 (en) 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
NL1011856C2 (nl) * 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
KR100507753B1 (ko) * 2000-03-17 2005-08-10 가부시키가이샤 히타치세이사쿠쇼 반도체 제조방법 및 제조장치
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
KR100717583B1 (ko) * 2000-08-26 2007-05-15 주성엔지니어링(주) Pecvd 장치
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP4545955B2 (ja) 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
NL1018086C2 (nl) 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
JP2003115483A (ja) 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
WO2004059736A1 (ja) 2002-12-25 2004-07-15 Fujitsu Limited 半導体装置の製造方法
US7153772B2 (en) 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US6892769B2 (en) 2003-06-30 2005-05-17 Lg.Philips Lcd Co., Ltd. Substrate bonding apparatus for liquid crystal display device panel
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) * 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20070110895A1 (en) 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4185483B2 (ja) 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
US7217670B2 (en) 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4584722B2 (ja) 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
KR100690491B1 (ko) 2005-02-18 2007-03-09 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4508054B2 (ja) * 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
US7666766B2 (en) * 2005-09-27 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
JP2007242858A (ja) 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
KR100818390B1 (ko) 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
KR20080092766A (ko) * 2007-04-13 2008-10-16 (주)소슬 기판 지지대 및 이를 구비하는 플라즈마 처리 장치
KR101357699B1 (ko) * 2007-03-16 2014-02-04 참엔지니어링(주) 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US20090000550A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
WO2009031520A1 (ja) * 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
KR101432561B1 (ko) 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
CN101889325B (zh) 2007-12-06 2014-05-07 因特瓦克公司 用于衬底的两侧溅射蚀刻的***和方法
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
CN101903979B (zh) 2007-12-19 2012-02-01 朗姆研究公司 组合喷淋头电极总成、连接其各部件的方法及衬底处理方法
CN101919041B (zh) 2008-01-16 2013-03-27 索绍股份有限公司 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
EP2104135B1 (en) * 2008-03-20 2013-06-12 Siltronic AG A semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2011517087A (ja) 2008-04-07 2011-05-26 チャーム エンジニアリング シーオー エルティーディー プラズマ処理装置及びプラズマ処理方法
KR20090118676A (ko) 2008-05-14 2009-11-18 (주)퓨전에이드 기판처리장치
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN101358337B (zh) 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US20100314725A1 (en) 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US8334017B2 (en) 2009-09-18 2012-12-18 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP4794685B1 (ja) 2010-10-19 2011-10-19 ミクロ技研株式会社 基板処理装置及び基板処理方法
US8531654B2 (en) 2010-10-21 2013-09-10 Panduit Corp. Method for designing and selecting optical fiber for use with a transmitter optical subassembly
US8470614B2 (en) * 2010-10-28 2013-06-25 Texas Instruments Incorporated PECVD showerhead configuration for CMP uniformity and improved stress
EP2481833A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481830A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
EP2481832A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
CN106884157B (zh) 2011-03-04 2019-06-21 诺发***公司 混合型陶瓷喷淋头
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8980767B2 (en) 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2014064779A1 (ja) * 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理***及电池制备方法
KR101562192B1 (ko) 2013-02-15 2015-10-22 최대규 플라즈마 반응기
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9296638B2 (en) 2014-07-31 2016-03-29 Corning Incorporated Thermally tempered glass and methods and apparatuses for thermal tempering of glass
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
CN105934837B (zh) 2014-01-21 2018-12-28 应用材料公司 允许低压工具替换的原子层沉积处理腔室
KR101564962B1 (ko) 2014-01-29 2015-11-03 주식회사 루미스탈 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US10611664B2 (en) * 2014-07-31 2020-04-07 Corning Incorporated Thermally strengthened architectural glass and related systems and methods
US11097974B2 (en) * 2014-07-31 2021-08-24 Corning Incorporated Thermally strengthened consumer electronic glass and related systems and methods
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
CN104835712A (zh) * 2015-03-25 2015-08-12 沈阳拓荆科技有限公司 一种应用于半导体等离子体处理装置的弧面喷淋头
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10301718B2 (en) 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US20210301402A1 (en) * 2018-08-09 2021-09-30 Tokyo Electron Limited Film forming apparatus and film forming method
CN109273378B (zh) 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
KR102185623B1 (ko) 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
US20150340225A1 (en) 2014-05-22 2015-11-26 Lam Research Corporation Back side deposition apparatus and applications
JP2016219803A (ja) 2015-05-22 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Also Published As

Publication number Publication date
TW201930640A (zh) 2019-08-01
JP2020532858A (ja) 2020-11-12
KR20230015507A (ko) 2023-01-31
US11851760B2 (en) 2023-12-26
US11441222B2 (en) 2022-09-13
US11725283B2 (en) 2023-08-15
TWI762709B (zh) 2022-05-01
KR102490171B1 (ko) 2023-01-18
CN115613010A (zh) 2023-01-17
KR20200038317A (ko) 2020-04-10
TW202227663A (zh) 2022-07-16
US20210108314A1 (en) 2021-04-15
KR20210157435A (ko) 2021-12-28
US10851457B2 (en) 2020-12-01
CN111094620A (zh) 2020-05-01
TWI800332B (zh) 2023-04-21
WO2019046134A1 (en) 2019-03-07
KR20210157436A (ko) 2021-12-28
KR20230158133A (ko) 2023-11-17
TW202342808A (zh) 2023-11-01
KR20230107707A (ko) 2023-07-17
EP3676418A1 (en) 2020-07-08
US20220162753A1 (en) 2022-05-26
KR20230060549A (ko) 2023-05-04
CN111094620B (zh) 2022-09-09
KR102490172B1 (ko) 2023-01-18
EP3676418A4 (en) 2021-07-21
SG11202001651UA (en) 2020-03-30
US20220162754A1 (en) 2022-05-26
TWI832722B (zh) 2024-02-11
KR102490169B1 (ko) 2023-01-18
US20220162755A1 (en) 2022-05-26
US20190062918A1 (en) 2019-02-28

Similar Documents

Publication Publication Date Title
JP7303796B2 (ja) 基板の選択した側に堆積させるためのpecvd堆積システム
KR102505474B1 (ko) 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210811

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230530

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230623

R150 Certificate of patent or registration of utility model

Ref document number: 7303796

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150