CN103597113A - 用于电感耦合等离子体蚀刻反应器的气体分配喷头 - Google Patents

用于电感耦合等离子体蚀刻反应器的气体分配喷头 Download PDF

Info

Publication number
CN103597113A
CN103597113A CN201280026355.7A CN201280026355A CN103597113A CN 103597113 A CN103597113 A CN 103597113A CN 201280026355 A CN201280026355 A CN 201280026355A CN 103597113 A CN103597113 A CN 103597113A
Authority
CN
China
Prior art keywords
chamber
gas
etching gas
inch
deposition gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280026355.7A
Other languages
English (en)
Other versions
CN103597113B (zh
Inventor
迈克·康
亚历克斯·帕特森
伊恩·J·肯沃西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103597113A publication Critical patent/CN103597113A/zh
Application granted granted Critical
Publication of CN103597113B publication Critical patent/CN103597113B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明公开了用于电感耦合等离子体处理装置的陶瓷喷头,电感耦合等离子体处理装置包括:处理腔室,在其中处理半导体衬底;衬底支撑件,在半导体衬底处理期间半导体衬底支撑在衬底支撑件上;以及天线,其能操作以在处理腔室中产生并保持等离子体。陶瓷喷头形成了腔室的介电窗,并且气体输送***能操作以交替地供给蚀刻气体和沉积气体至喷头的稳压室并且在200毫秒内用沉积气体来更换稳压室中的蚀刻气体。

Description

用于电感耦合等离子体蚀刻反应器的气体分配喷头
背景技术
在半导体行业中,波希法(Bosch process)是一种等离子体蚀刻工艺,其广泛地用于制作诸如沟槽和通孔等深的垂直(高深宽比)特征(具有诸如数十至数百微米的深度)。波希法包括蚀刻步骤和沉积步骤的交替的循环。波希法的细节可见于美国专利No.5,501,893中,该专利通过引用合并于此。波希法能够在结合射频(RF)偏置衬底电极在配置有诸如电感耦合等离子体(ICP)源之类的高密度等离子体源的等离子体处理装置中实施。在波希法中用来蚀刻硅的处理气体,在蚀刻步骤中可以为六氟化硫(SF6),而在沉积步骤中可以为八氟化环丁烷(C4F8)。在下文中,在蚀刻步骤中使用的处理气体和在沉积步骤中使用的处理气体分别称为“蚀刻气体”和“沉积气体”。在蚀刻步骤期间,SF6有利于硅(Si)的自发的且各向同性的蚀刻;在沉积步骤期间,C4F8有利于保护性聚合物层沉积到蚀刻结构的侧壁以及底部上。波希法在蚀刻步骤和沉积步骤之间周期性地交替,使能将深的结构限定到掩模硅衬底中。在存在于蚀刻步骤中的高能且定向的离子轰击时,覆盖在蚀刻结构底部中的来自之前沉积步骤的任何聚合物膜将被去除以露出硅表面以便进行进一步蚀刻。侧壁上的聚合物膜将保留,因为其不经受直接的离子轰击,从而抑制横向蚀刻。
波希法的一个局限是蚀刻的深特征的粗糙化侧壁。该局限性是由于在波希法中使用的周期性蚀刻/沉积方案引起的并且在本领域中称为侧壁“扇形化”。对于许多器件应用,期望使得该侧壁的粗糙化或扇形化最小化。通常,扇形化的程度以扇形长度和深度来量度。扇形长度为粗糙化侧壁的峰-峰距离并且与在单个蚀刻循环期间达到的蚀刻深度直接相关。扇形深度为粗糙化侧壁的峰-谷距离并且与单个蚀刻步骤的各向异性程度相关。通过缩短各蚀刻/沉积步骤的持续时间(即,以较高的频率重复较短的蚀刻/沉积步骤),能够使得扇形形成的范围最小化。
除了较平滑的特征侧壁之外,还期望实现较高的总蚀刻率。总蚀刻率被定义在工艺中蚀刻的总深度除以工艺的总持续时间。通过提高工艺步骤内的效率(即,减少停机时间),能够提高总蚀刻率。
图1示出了用于处理衬底120的常规的等离子体处理装置100包括衬底支撑件130和包围衬底支撑件130的处理腔室140。衬底120可以为例如具有诸如4"、6"、8"、12"等直径的半导体晶片。衬底支撑件130可包括例如射频(RF)供电电极。衬底支撑件130可以从腔室140的下端壁支撑或者可以为悬臂式连接,例如,从腔室140的侧壁延伸出。衬底120可通过机械方式或静电方式夹紧到电极130上。处理腔室140可以为例如真空腔室。
通过将处理腔室140中的处理气体激励成高密度等离子体,在处理腔室140中对衬底120进行处理。能量源将高密度(例如,1011-1012离子/cm3)等离子体保持在腔室140中。例如,由适当的RF源或适当的RF阻抗匹配电路供电的诸如图1所示的平面型多匝螺旋线圈、非平面型多匝线圈或具有另一形状的天线之类的天线150将RF能量电感耦合到腔室中以产生高密度等离子体。施加到天线150的RF电力能够根据在腔室140中使用的不同处理气体(例如,包含SF6的蚀刻气体和包含C4F8的沉积气体)而变化。腔室140可包括用于将腔室140的内部保持为期望压强(例如,5托以下,优选地为1-100毫托)的适当的真空泵浦装置。诸如图1所示的均匀厚度的平面型介电窗155的介电窗或非平面型介电窗(未示出)设置在天线150和处理腔室140的内部之间并且在处理腔室140的顶部形成真空壁。气体输送***110能够用于将处理气体通过介电窗155下方的主气体环170或中央喷射器180供给到腔室140中。在共同拥有的美国专利申请公开No.2001/0010257、No.2003/0070620、美国专利No.6,013,155或美国专利No.6,270,862中公开了图1中的等离子体处理装置100的细节,上述专利申请或专利的全部内容通过引用合并于此。
在共同拥有的美国专利No.7,459,100和No.7,708,859以及美国专利公开No.2007/0158025和No.2007/0066038中公开了设计用于快速气体切换的气体输送***,上述专利和公开的内容通过引用合并于此。
优选地,衬底120包括诸如硅晶片和/或多晶硅的硅材料。诸如孔、通孔和/或沟槽之类的各种特征要蚀刻到硅材料中。具有用于蚀刻期望特征的开口图案的图案化掩蔽层(例如,光刻胶、氧化硅和/或氮化硅)设置在衬底120上。
图1的装置100的一个问题在于,主气体环170被定位成更靠近衬底120的周边而不是更靠近中心,这会由于在衬底120的表面上将一种处理气体完全替换成另一种处理气体所需的时间而提高蚀刻率并且会由于在处理期间遍布衬底的气体压强非均匀性而导致处理非均匀性。
发明内容
本文描述了一种用于电感耦合等离子体处理装置的陶瓷喷头,所述电感耦合等离子体处理装置包括:处理腔室,在所述处理腔室中处理半导体衬底;衬底支撑件,在半导体衬底处理期间所述半导体衬底支撑在所述衬底支撑件上;以及天线,其能操作以在所述处理腔室中产生并保持等离子体。陶瓷喷头形成腔室的介电窗,并且气体输送***能操作以交替地供给蚀刻气体和沉积气体至喷头中的稳压室并且在200毫秒内用沉积气体替换所述稳压室中的蚀刻气体或者在200毫秒内用蚀刻气体替换稳压室中的沉积气体。等离子体处理装置能操作以便以至少10μm/分钟的速率在所述半导体衬底上的硅中蚀刻开口。
陶瓷喷头包括:陶瓷材料制下板,其具有平面型的下表面和阶形的上表面,所述下板在其中央部分较厚且在其外部较薄,轴向延伸的气孔位于所述外部上的环形区域中并且在所述上表面和所述下表面之间延伸,真空密封表面位于所述下表面的外周处的所述外部上;陶瓷材料制环形上板,其具有平面型的上表面和下表面,多个径向延伸的气道从其外周向内延伸,并且多个轴向延伸的气道从其所述下表面延伸至所述径向延伸的气道;所述环形上板被构造成围绕所述下板的所述中央部分并且覆盖所述下板的所述外部的所述上表面,以使所述上板的所述轴向延伸的气道与所述下板中的所述轴向延伸的气孔流体连通。
依照优选的实施例,下板包括两排轴向延伸的气孔,每排具有20至50个轴向延伸的气孔。优选地,下板具有大约20英寸的直径、在中央部分大约1.5英寸的厚度以及在外部大约0.8英寸的厚度,两排气道包括具有0.04英寸的直径且定位距下板的中心大约5英寸的内排32个气道以及具有大约0.04英寸的直径且定位距下板的中心大约6.5英寸的外排32个气道,并且密封表面位于下表面的台阶上,所述台阶具有大约0.4英寸的深度以及大约1.2英寸的宽度。上板包括具有大约0.125英寸的直径且定位相隔45°的8个径向延伸的气道、具有大约0.125英寸的直径且定位距上板的中心大约5.75英寸的8个轴向延伸的气道、具有大约1.7英寸的宽度以及大约0.015至0.02英寸的深度的环形稳压室、以及围绕所述环形稳压室的内外O形圈槽。上板还包括具有大约0.4英寸的直径且定位在上板的上表面中的8对轴向延伸的安装孔、具有大约0.35英寸的直径且定位在上板的外周上的平坦安装表面中的8对径向延伸的安装孔,每对安装孔的中心定位相隔大约1英寸。优选地,上板和下板由高纯度氧化铝制成,并且下板的下表面包括覆盖除了所述密封表面之外的全部所述下表面的高纯度氧化钇涂层。可用于上板和下板的其它材料包括氮化铝以及适合于半导体兼容材料的其它陶瓷。
陶瓷喷头形成处理腔室的介电窗,处理腔室具有衬底支撑件,在半导体处理期间半导体支撑在衬底支撑件上,天线可操作以电感耦合RF能量使其通过所述介电窗且进入所述腔室,从而在所述衬底支撑件和所述介电窗之间的腔室间隙中将处理气体激励成等离子体;以及气体输送***,其能操作以交替地供给包含蚀刻气体和沉积气体的处理气体至所述陶瓷喷头中的所述径向延伸的气道,使得所述环形稳压室中的所述蚀刻气体在200毫秒内替换成所述沉积气体或者所述环形稳压室中的所述沉积气体在200毫秒内替换成所述蚀刻气体,所述等离子体处理装置能操作以便以至少10μm/分钟的速率在所述半导体衬底上的硅材料中蚀刻开口。优选地,蚀刻气体为SF6,并且沉积气体为C4F8
在处理半导体衬底的方法中,所述方法包括:(a)将所述半导体衬底支撑在所述处理腔室中的所述衬底支撑件上;(b)中断所述沉积气体的流动并且将所述蚀刻气体供给到所述环形稳压室,使得所述蚀刻气体通过所述陶瓷喷头中的所述气孔而流入所述腔室间隙中;(c)将所述腔室间隙中的所述蚀刻气体激励成第一等离子体并且用所述第一等离子体在所述半导体衬底中等离子体蚀刻开口;(d)中断所述蚀刻气体的流动并且将所述沉积气体供给到所述环形稳压室,使得所述沉积气体通过所述陶瓷喷头中的所述气孔流入所述腔室间隙中;(e)将所述腔室间隙中的所述沉积气体激励成第二等离子体并且用所述第二等离子体将聚合物沉积到所述开口中;以及(f)以不大于1.8秒的总循环时间重复步骤(b)-(e)。如果半导体衬底为硅晶片,则能够实施处理使得在步骤(b)中所述蚀刻气体在大约500毫秒的时间段内替换所述腔室间隙中的所述沉积气体,并且在步骤(d)中所述沉积气体在大约500毫秒的时间段内替换所述腔室间隙中的所述蚀刻气体。
附图说明
图1示出了常规的等离子体处理装置。
图2示出了根据优选实施例的等离子体处理装置。
图3A-D示出了下板270的细节,其中图3A为其上表面的立体图,图3B为其下表面的立体图,图3C为其仰视图,图3D为其剖视图。
图4A-H示出了上板280的细节,其中图4A为其上表面的立体图,图4B为其下表面的立体图,图4C为其侧视图,图4D为其剖视图,图4E为图4D中的细节E的视图,图4F为图4E中的细节F的视图,图4G为沿图4H中的线G-G在气体连接位置处的剖视图,并且图4H为图4C中的细节H的端视图。
图5A-B示出了安装在下板270上的上板280,其中图5A为立体俯视图,并且图5B为通过图5A中所示的组件的剖视图。
图6A-C示出了将处理气体供给到陶瓷喷头的气体连接块的细节,其中图6A为块的立体前视图,图6B为块的立体后视图,并且图6C为其仰视图。
图7A-C示出了气体环的细节,其中图7A为气体环的俯视图,图7B为气体环的立体图,并且图7C示出了其中盖板与底部环分隔开的气体环的细节。
图8A-D示出了安装在陶瓷喷头上的气体环的细节,其中图8A为围绕喷头的气体环的立体图,图8B示出了气体连接块的带肩螺钉如何接合装配在喷头中的安装孔中的紧固件中的开口,图8C示出了***到喷头的外周中的径向延伸安装孔中的带肩螺钉以及完全***到喷头中的紧固件,并且图8D为附接至气体环和喷头的气体连接块的立体截面图。
具体实施方式
本文描述的等离子体处理装置能够以比上述常规装置100更大的均匀度实现更高的蚀刻率。
根据实施例,如图2所示,用于处理衬底220的等离子体处理装置200包括衬底支撑件230和包围衬底支撑件230的处理腔室240。衬底220可以为例如具有8英寸、12英寸或更大的直径的半导体晶片。衬底支撑件230可以包括例如射频(RF)供电电极。衬底支撑件230可从腔室240的下端壁支撑或者可以为悬臂式连接,例如,从腔室240的侧壁延伸出。衬底220可通过机械方式或静电方式夹紧到衬底支撑件230上。
通过将处理腔室240中的处理气体激励成高密度等离子体,在处理腔室240中处理衬底220。能量源在腔室20中产生并保持高密度(例如,1011-1012离子/cm3)等离子体。例如,通过适当的RF源和适当的RF阻抗匹配电路供电的诸如图2所示的平面型多匝螺旋线圈、非平面型多匝线圈或具有另一形状的天线之类的天线250将RF能量电感耦合到腔室中以产生高密度等离子体。在交替地供给蚀刻气体或沉积气体的循环期间,优选地在小于约1s的时间段内,更优选地在小于约200ms内,施加到天线250的RF电力能够保持在相同的电力水平或者根据在腔室240中使用的不同的处理气体(例如,包含SF6的蚀刻气体以及包含C4F8的沉积气体)而变化。通过适当的真空泵浦装置将腔室240排空,以用于将腔室240的内部保持为期望压强(例如,5托以下,优选地1-500毫托)。在蚀刻和沉积循环期间压强能够保持为相同的水平或者变化。
腔室包括均匀厚度的陶瓷喷头260,陶瓷喷头设置在天线250和处理腔室240的内部之间并且在处理腔室240的顶部形成真空壁。气体输送***210能够用于通过喷头260中的气道将处理气体供给到腔室240中。气体输送***210经由快速切换阀(诸如可从位于Santa Clara,CA的Fujikin ofAmerica获得的型号为FSR-SD-71-6.35的阀)将蚀刻气体或沉积气体交替地供给到腔室中,所述快速切换阀在40毫秒内打开和关闭,优选地在30毫秒内打开和关闭。阀能够为开关阀,在蚀刻气体供给到喷头的同时,开关阀不将沉积气体引导至旁通线路,或者反之亦然。快速气体切换阀提供了比MFC阀更快的切换,MFC阀在打开或关闭之前需要花费250毫秒来稳定。
在优选的实施例中,喷头为两件式陶瓷喷头,其包括上板280和下板270(下文将参考图3A-D和图4A-H进行说明),上板和下板由电绝缘陶瓷材料制成,诸如氧化铝、氮化硅、氮化铝、掺杂碳化硅、石英等。为了防止等离子体在喷头气孔中点燃,气孔优选地具有不大约0.06英寸的直径和至少2的深宽比。例如,下板270可具有至少0.2英寸的厚度,优选地为0.2至1英寸。通过使衬底支撑件沿垂直方向移动以调节在喷头板和衬底之间产生等离子体的腔室间隙,能够改变下板270的底面和衬底220之间的垂直距离(腔室间隙)。
优选地,衬底220包括诸如硅晶片和/或多晶硅之类的硅材料。诸如孔、通孔和/或沟槽的各种特征要蚀刻到硅材料中。具有用于蚀刻期望特征的开口图案的图案化掩蔽层(例如,光刻胶、氧化硅和/或氮化硅)布置在衬底220上。
与带侧面气体喷射的常规的等离子体处理装置100比,等离子体处理装置200能够更快速且均匀地将腔室间隙中的处理气体从蚀刻气体切换成沉积气体,并且反之亦然。在衬底220具有300mm的直径且腔室间隙大于4英寸的一个实施例中,装置200能够在大约200毫秒内基本上完全(例如,至少90%)切换上板和下板之间的稳压室中的处理气体,并且在大约700毫秒内基本上完全(例如,至少90%)切换腔室间隙中的处理气体。这种快速气体切换使能利用等离子体处理装置200来显著提高硅中开口的蚀刻率至10μm/min以上,并且根据待蚀刻特征的关键尺寸(CD),蚀刻率能够高于20μm/min,而带侧面气体喷射的提供大约3μm/min的蚀刻率。
图3A-D示出了下板270的细节,其中图3A为其上表面的立体图,图3B为其下表面的立体图,图3C为其仰视图,并且图3D为其剖视图。
如图3A-D所示,下板270包括平面型下表面302和阶形上表面304,上表面在其中央部分306较厚并且在其外部308较薄,两排轴向延伸的气孔310位于外部308上的环形区域312中并且在上表面304和下表面302之间延伸。下表面302包括在其外部中的台阶320并且包括环形真空密封表面314,环形真空密封表面314被真空密封到等离子体腔室的温控壁上。下板270包括在环形区域312任一侧在上表面304上的环形内真空密封表面316和环形外真空密封表面318。盲孔322位于中央部分306的上表面上,用于安装监控下板270的温度的温度传感器。
较厚的中央部分306将热高效地散发至中央部分306的暴露的上表面上方的环境气氛中。喷头的外边缘能够被设定为高温以抵消喷头上的温度梯度。一个或多个热垫圈506能够用于促进下板270的外部308和上覆板280之间的热传递。下板270暴露于大部分的热和真空负荷并且将经受高的热应力。通过在上板280中提供复杂的气体馈送导管,在腔室中的衬底的等离子体处理期间由于热应力造成的破坏风险很低。此外,由于上板和下板通过真空力保持在一起且由O形圈密封,所以容易周期性地移除和清洁这两个部分。为了提供耐腐蚀性,下板的等离子体暴露表面能够涂有氧化钇。
在设计为处理300mm晶片的腔室中,下板270比晶片宽,并且真空密封表面312接合腔室240的顶部上的配合密封表面。例如,下板270可具有大约20英寸的直径、在中央部分306处大约1.5英寸的厚度以及在外部308处大约0.8英寸的厚度,气孔310布置成两排气孔,包括具有大约0.04英寸的直径且定位距下板270的中心大约5英寸的内排32个气孔以及具有大约0.04英寸的直径且定位距下板270的中心大约6.5英寸的外排32个气孔,并且密封表面314位于下表面302中的台阶320上,台阶314具有大约0.4英寸的深度以及大约1.2英寸的宽度。
图4A-H示出了上板280的细节,其中图4A为其上表面的立体图,图4B为其下表面的立体图,图4C为其侧视图,图4D为其剖视图,图4E为图4D中的细节E的视图,图4F为图4E中的细节F的视图,图4G为在气体连接安装表面处的上板的剖视图,并且图4H为安装表面的侧视图。
上板280为具有平面型上表面402、平面型下表面404、内表面406和外表面408的陶瓷材料制环形板。多个径向延伸的气道410从外表面408向内延伸,并且多个轴向延伸的气道412从下表面404延伸至径向延伸的气道410。环形上板280被构造成围绕下板270的中央部分306并且上覆下板270的外部308的上表面304,使得上板280的轴向延伸的气道412与环形稳压室414流体连通,环形稳压室414与下板270中的轴向延伸的气孔310流体连通。
为处理300mm的晶片,上板280被定尺寸以与下板270匹配,并且包括供给下板270中的气孔310的多个径向延伸的气道410。例如,上板280可包括具有大约0.125英寸的直径且定位相隔45°的8个径向延伸的气道410、具有大约0.125英寸的直径且定位距上板270的中心大约5.75英寸的8个轴向延伸的气道412,环形稳压室414具有大约1.7英寸的宽度以及大约0.015至0.02英寸的深度,内O形圈槽416和外O形圈槽418围绕环形稳压室414。根据工艺要求,下板270可包括呈任何期望图案且具有任意期望几何形状和尺寸的气孔310的不同布置,诸如多于或少于64个气孔。
为将处理气体供给到气道410,上板280包括用于附接气体连接安装块的安装孔。安装孔包括8对轴向延伸的安装孔420和8对径向延伸的安装孔422。孔420具有大约0.4英寸的直径,定位距上板280的上表面402的外边缘大约0.5英寸,并且延伸通过上板280至下表面404。安装孔422具有大约0.35英寸的直径,位于上板280的外周408上的平坦安装表面424中,并且延伸到孔420中。每对安装孔420、422的中心相隔大约1英寸。优选地,上板280和下板270由高纯度氧化铝制成,并且下板270的下表面包括覆盖除了密封真空表面314之外的整个下表面的高纯度氧化钇涂层。
图5A-B示出了安装在下板270上的上板280,其中图5A为立体俯视图,并且图5B为通过图5A所示的组件的剖视图。上板上的安装孔420接收紧固件504,紧固件504容许将八个气体连接块(未示出)附接到上板280的外表面408。气体块将处理气体输送到八个气体连接位置502,在该八个气体连接位置502处理气体流入八个径向延伸的气道410。通过在相等间隔位置处从外表面408馈送处理气体,能够在腔室中实现快速气体切换。优选地,在上板和下板之间的环形稳压室414的气体容积小于500cm3,这允许快速地从蚀刻气体变更成沉积气体。下板270的厚的中央部分306允许散热,并且上板和下板的相对表面之间的热传导垫圈506允许下板270的外部308保持在期望温度。下板270暴露于大部分热和真空负荷并且将经受高的热应力。因此,期望使得可能引发热破裂的下板上的特征最小化。通过两件式设计,可能引发热破裂的复杂的加工特征位于上板280上。上板和下板不是通过螺栓连接在一起,而是仅通过真空力和通过位于O形圈槽416、418中的两个O形圈密封件密封的真空保持在一起。该安装布置使得能容易拆除以进行上板和下板的清洁。
通过上述等离子体处理装置200,气体输送***可操作以交替地供给蚀刻气体和沉积气体至稳压室并且在200毫秒内用沉积气体替换上板和下板之间的稳压室中的蚀刻气体或者在200毫秒内用蚀刻气体替换稳压室中的沉积气体。等离子体处理装置能够用于以至少10μm/min的速率蚀刻支撑在衬底支撑件上的半导体衬底上的硅。等离子体处理装置可操作以在200毫秒内且在处理腔室中的等离子体约束区(腔室间隙)中将稳压室中的处理气体基本完全地从蚀刻气体切换到沉积气体,或者在大约500ms内反之亦然。
在优选实施例中,蚀刻气体为SF6,并且沉积气体为C4F8。在操作中,气体供给***在将沉积气体供给到稳压室期间不将蚀刻气体转向到真空线路并且在将蚀刻气体供给到稳压室期间不将沉积气体转向到真空线路。利用上述等离子体处理装置处理衬底优选地包括:(a)将衬底支撑在腔室中,(b)将蚀刻气体供给到稳压室并且使蚀刻气体通过下板中的气孔流入腔室间隙中,(c)将腔室中的蚀刻气体激励成第一等离子体并且用所述第一等离子体来处理衬底,(d)将沉积气体供给到稳压室,从而基本上替换蚀刻气体并且使沉积气体通过下板中的气孔流入腔室间隙中,(e)将腔室中的沉积气体激励成第二等离子体并且用第二等离子体来处理衬底,(f)以不大于1.8秒的总循环时间来重复步骤(b)-(e)。
优选地,在步骤(b)中在大约500毫秒的时间段内蚀刻气体替换腔室间隙中的沉积气体的至少90%,并且优选地在步骤(d)中在大约500毫秒的时间段内沉积气体替换腔室间隙中的蚀刻气体的至少90%。在处理期间,在步骤(b)-(e)期间稳压室中的压强至少为5托。在供给蚀刻气体和沉积气体的循环期间,供给蚀刻气体的总时间可以为1.3秒或更少,并且供给沉积气体的总时间可以为0.7秒或更少。
能够调节腔室压强,使得在供给蚀刻气体期间腔室间隙中的压强大于150毫托并且在供给沉积气体期间腔室间隙中的压强小于150毫托。在优选工艺中,蚀刻气体以至少500sccm的流率供给到稳压室,并且沉积气体以小于500sccm的流率供给到稳压室。优选地,衬底和下板之间的腔室间隙大于4英寸。在供给蚀刻气体期间,衬底可在200毫秒期间在蚀刻步骤的聚合物清除阶段中以保持为小于150毫托的腔室间隙中压强且对于等离子体蚀刻步骤的其余部分以保持为高于150毫托的腔室间隙中压强进行高深宽比开口的等离子体蚀刻。在供给沉积气体期间,第二等离子体能够在整个沉积步骤中以保持为小于150毫托的腔室间隙中压强在开口的侧壁上沉积聚合物涂层。蚀刻气体可以为SF6、CF4、XeF2、NF3、诸如CCl4之类的含Cl气体中的一种或多种,并且沉积气体可以为C4F8、C4F6、CHF3、CH2F2、CH4、C3F6、CH3F中的一种或多种。
蚀刻气体可通过第一阀供给至八个蚀刻气体线路,八个蚀刻气体线路将蚀刻气体输送到上板的外周中的气体入口,其中八个蚀刻气体线路具有相等的传导率。同样,沉积气体通过第二阀供给至八个沉积气体线路,八个沉积气体线路将沉积气体输送至气体入口,其中八个沉积气体线路具有相等的传导率。能够使用快速动作阀,其中快速动作螺线管阀在接收到来自控制器的信号时在10毫秒内发送气动空气至快速切换阀,并且打开或关闭快速切换阀的总时间可以为30毫秒或更少。
图6A-C示出了由诸如不锈钢之类的耐腐蚀金属材料或聚合物材料制成的示例性的气体连接块600,其用于将处理气体供给至上板280中的径向延伸的气道410中的一个。图6A为立体前视图,图6B为立体后视图,并且图6C为连接块600的仰视图。连接块600包括安装表面602,安装表面602接触平坦安装表面424,使得安装表面602中的气体出口604与气道410对准。成对的镗孔606与平坦面424中的孔422对准,并且成对的带肩螺钉608能够沿着远离表面602的方向在镗孔606中滑动,使得带肩螺钉608上的压配合的塑料套筒609进入孔422以将块600定位在上板280上。在镗孔606的相对端处的弹性挡圈611防止带肩螺钉从镗孔606中掉落。围绕气体出口604的表面602中的O形圈槽612接纳诸如O形圈的垫圈以提供块600和上板280上的平坦安装表面424之间的密封。成对的安装孔610延伸通过凸缘607以将块600安装在气体输送环上。块600包括安装表面613,安装表面613具有贯通其中的气体入口615和围绕入口615的O形圈槽617。浅的矩形凹部619减少了块600和气体输送环之间的热传递。
图7A-C示出了气体输送环700的细节。图7A示出了其上面安装有八个气体连接块600的环700,每个块600提供块内部与上板280中的气体入口410之间的流体连通。图7B示出了未安装有块600的气体环700的细节。气体环700包括在上盖板704中的八个气体出口702、其中具有由上盖704封闭的通道的底环706、让处理气体通过以进入环700的气体入口708,以及连接底环的与气体入口708相对的端712的延伸限制器710。如图7C所示,盖板704包括相互连接的部分,其中第一部分714延伸环706的1/2直径,成对的第二部分716各自在其中点处附接至第一部分714的相应端并且延伸环706的1/4直径,以及四个第三部分718各自在其中点处附接至第二部分716其中之一的相应端以将八个气体出口702定位成相隔均等距离。底环706包括其中相互连接的通道,其中第一通道720延伸环706的1/2直径,成对的第二通道722各自在其中点处连接至第一通道720的相应端并且延伸环706的1/4直径,以及四个第三通道724各自在其中点处连接至第二通道722其中之一的相应端。盖板704包括附接至第一部分714的中部的L形部分726。L形部分覆盖下环706的气体入口部分730中的L形通道728,通道728将气体入口708连接至第一通道720。底环706包括在安装表面734中的安装孔732,孔732与八个气体连接块600的相应一个中的孔610对准。
优选地,盖板704和底环706由耐腐蚀金属材料(诸如不锈钢)或聚合物材料制成,并且盖板704能够通过诸如电子束焊接之类的适当制造工艺密封到下环706。盖板和/或底环的内和/或外表面能够涂有诸如硅涂层之类的保护材料。优选的硅涂层为“SILCOLLOY1000”,可从位于Bellefonte,PA的SilcoTek获得的化学蒸汽沉积(CVD)多层硅涂层。适当的CVD硅涂层的细节可见于美国专利No.7070833,该专利的公开内容通过引用合并于此。尽管尺寸能够根据喷头和气体入口布置的尺寸而改变,在优选实施例中,底环706中的通道720/722/724能够为大约0.1英寸宽且大约0.32英寸高,气体出口702能够定位在大约10.4英寸的半径上。盖板704可比底环中的通道略宽且装配在每个通道的顶部处的凹部内。例如,第一、第二和第三部分714/716/718可具有大约0.03英寸的厚度以及大约0.12英寸的宽度。如图7C所示,盖环704的第三部分718的端部736可向内成角度并且包括圆角端738。圆角端738可具有大约0.32英寸的直径,并且形成气体出口702的开口可具有在圆角端734的中心的大约0.19英寸的直径。
为避免通道720/722/724之间的突然的方向变化,第一通道720的端部和第二通道722的中部之间的两个连接部优选地以大约0.13英寸的半径成圆形,并且第二通道722的端部和第三通道724的中部之间的四个连接部以大约0.13英寸的半径成圆形。在底环的一些部分中,有单个通道(诸如第一通道720的部分和第三通道724的部分)、两个相邻通道(诸如第一和第三通道同心的部分,第一和第二通道同心的部分或者第二和第三通道同心的部分)、或三个相邻通道(其中第一、第二和第三通道同心)。
优选地,气体环700为圆形,但是如果陶瓷喷头具有不同的形状,则其它构造是可能的。为了将气体环700附接至喷头,延伸限制器710松散,并且气体环位于上板280周围。在带肩螺钉608和与气体入口410流体连通密封的孔422和气道616接合之后,延伸限制器710被紧固以使气体环700的端部712同心对准。
图8A为经由气体连接块600附接至喷头260的上板280的气体环700的立体图。图8B示出了在气体连接块600中的镗孔606中滑动的带肩螺钉608如何装配在延伸到上板280中的安装孔420中的紧固件504中的水平开口中。如图8C所示,带肩螺钉608包括塑料衬套609以使陶瓷上板280中的水平孔422的磨损最小化。当带肩螺钉608***到上板280的外周上的平坦安装表面424中的孔422中时,带肩螺钉608的端部进入紧固件504中的开口以将块600保持在适当位置上。安装在孔610中的螺钉614将气体连接块600紧固到气体环700上。如图8D所示,每个气体连接块600包括L形通道616,L形通道616将气体环700的出口702连接至上板280中的径向延伸的气道410中的一个的入口。O形圈槽612中的O形圈围绕L形通道616的出口604以提供气体连接块600和上板280上的平坦安装表面424之间的密封。同样,O形圈槽617中的O形圈提供气体连接块600和气体环700上的安装表面734之间的密封。
将气体环700组装到上板280上需要利用螺钉614将气体连接块600附接至气体环700,气体环700散开并且在上板280上方滑动,紧固件504完全***到垂直孔420中,紧固件504中的开口与开口422对准,气体环在上板280周围闭合,并且板710被收紧以防止环打开,并且螺钉608***到孔422中并且通过紧固件504中的开口。优选地,紧固件504由塑料制成并且将块600保持在喷头周围的适当位置上。
通过气体环700,处理气体能够通过单个入口供给并且沿着等长度流路输送至出口702,从而使得从每个出口702喷出的气体的压强或流率相同并且使从每个出口均匀地喷出气体。因此,能够使得来自每个出口的流过阻力(传导率)相等。如上所述,出口和通道的数量能够根据需要改变,而无需限制为八个出口或上述特定通道布置。
在该说明书中,用语“大约”通常结合数值使用以表明不意在该值的数学精度。因此,目的在于,在结合数值使用“大约”的情况下,对于该数值预期10%的容差。
尽管上文已经参照具体实施例详细描述了可操作以快速地切换处理气体的等离子体处理装置,但是本领域技术人员显而易见的是,能够进行各种改变和变型以及所采用等同方案,而不偏离随附权利要求书的范围。

Claims (15)

1.一种用于电感耦合等离子体处理装置的陶瓷喷头,其中将支撑在衬底支撑件上的半导体衬底进行等离子体蚀刻,所述陶瓷喷头包括:
陶瓷材料制下板,其具有平面型的下表面和阶形的上表面,所述下板在其中央部分较厚且在其外部较薄,轴向延伸的气孔位于所述外部上的环形区域中并且在所述上表面和所述下表面之间延伸,真空密封表面位于所述下表面的外周处的所述外部上,并且所述上表面上的内外真空密封表面限定所述轴向延伸的气孔所在的所述环形区域;
陶瓷材料制环形上板,其具有平面型的上表面和下表面,多个径向延伸的气道从其外周向内延伸,并且多个轴向延伸的气道从其所述下表面延伸至所述径向延伸的气道;
所述环形上板被构造成围绕所述下板的所述中央部分并且覆盖所述下板的所述外部的所述上表面,以使所述上板的所述轴向延伸的气道与所述下板中的所述轴向延伸的气孔流体连通。
2.如权利要求1所述的陶瓷喷头,其中所述下板包括至少两排轴向延伸的气孔,每排具有20至50个所述轴向延伸的气孔。
3.如权利要求2所述的陶瓷喷头,其中所述下板具有大约20英寸的直径、在所述中央部分为大约1.5英寸的厚度且在所述外部为大约0.8英寸的厚度,所述两排气孔包括具有0.04英寸的直径且位于距所述下板的中心大约5英寸处的内排32个气孔以及具有大约0.04英寸的直径且位于距所述下板的所述中心大约6.5英寸处的外排32个气孔,并且所述密封表面定位在所述下表面的台阶上,所述台阶具有大约0.4英寸的深度以及大约1.2英寸的宽度。
4.如权利要求1所述的陶瓷喷头,其中所述上板包括具有大约0.125英寸的直径且相隔45°定位的至少8个径向延伸的气道、具有大约0.125英寸的直径且位于距所述上板的所述中心大约5.75英寸处的至少8个轴向延伸的气道、限定具有大约1.7英寸的宽度和大约0.015英寸至0.02英寸的深度的环形稳压室的环形凹部、以及围绕所述环形稳压室的内外O形圈槽,所述环形稳压室提供所述上板中的所述气道和所述下板中的所述气孔之间的流体连通。
5.如权利要求4所述的陶瓷喷头,其中所述上板还包括具有大约0.4英寸的直径且位于所述上板的所述上表面中的8对轴向延伸的安装孔、具有大约0.35英寸的直径且位于所述上板的外周上的平坦安装表面中的8对径向延伸的安装孔,每对所述安装孔的中心定位相隔大约1英寸。
6.如权利要求1所述的陶瓷喷头,其中所述上板和下板由高纯度氧化铝制成,并且所述下板的所述下表面包括覆盖除了所述密封表面之外的全部所述下表面的高纯度氧化钇涂层。
7.一种处理腔室,在所述处理腔室中处理半导体衬底;
衬底支撑件,在所述半导体处理期间所述半导体支撑在所述衬底支撑件上;
如权利要求1所述的陶瓷喷头,其形成所述腔室的介电窗;
天线,其能操作以电感耦合RF能量使其通过所述介电窗且进入所述腔室,从而在所述衬底支撑件和所述介电窗之间的腔室间隙中将处理气体激励成等离子体;以及
气体输送***,其能操作以交替地供给包含蚀刻气体和沉积气体的处理气体至所述陶瓷喷头中的所述径向延伸的气道,使得所述环形稳压室中的所述蚀刻气体在200毫秒内更换成所述沉积气体或者所述环形稳压室中的所述沉积气体在200毫秒内更换成所述蚀刻气体,所述等离子体处理装置能操作以便以至少10μm/分钟的速率在所述半导体衬底上的硅材料中蚀刻开口。
8.如权利要求7所述的等离子体处理装置,其中所述蚀刻气体选自SF6、NF3和CF4,并且所述沉积气体选自C4F8、C4F6、CH2F2、CHF3、CH3F。
9.一种利用如权利要求7所述的等离子体处理装置来处理半导体衬底的方法,所述方法包括:
(a)将所述半导体衬底支撑在所述处理腔室中的所述衬底支撑件上;
(b)中断所述沉积气体的流动并且将所述蚀刻气体供给到所述环形稳压室,使得所述蚀刻气体通过所述陶瓷喷头中的所述气孔而流入所述腔室间隙中;
(c)将所述腔室间隙中的所述蚀刻气体激励成第一等离子体并且用所述第一等离子体在所述半导体衬底中等离子体蚀刻开口;
(d)中断所述蚀刻气体的流动并且将所述沉积气体供给到所述环形稳压室,使得所述沉积气体通过所述陶瓷喷头中的所述气孔流入所述腔室间隙中;
(e)将所述腔室间隙中的所述沉积气体激励成第二等离子体并且用所述第二等离子体将聚合物沉积到所述开口中;
(f)以不大于1.8秒的总循环时间重复步骤(b)-(e)。
10.如权利要求9所述的方法,其中所述半导体衬底为硅晶片,在步骤(b)中所述蚀刻气体在大约500毫秒的时间段内替换所述腔室间隙中的所述沉积气体,并且在步骤(d)中所述沉积气体在大约500毫秒的时间段内替换所述腔室间隙中的所述蚀刻气体。
11.如权利要求9所述的方法,其中在步骤(b)-(e)期间所述稳压室中的压强至少为1托。
12.如权利要求9所述的方法,其中供给所述蚀刻气体的总时间为1.3秒或更少,并且供给所述沉积气体的总时间为0.7秒或更少。
13.如权利要求9所述的方法,其中在所述蚀刻气体供给期间所述腔室间隙中的压强为至少150毫托,并且在所述沉积气体供给期间所述腔室间隙中的压强在150毫托以下。
14.如权利要求9所述的方法,其中所述蚀刻气体以至少500sccm的流率被供给到所述稳压室,并且所述沉积气体以500sccm以下的流率被供给到所述稳压室。
15.如权利要求9所述的方法,其中所述半导体衬底和喷头板之间的所述腔室间隙为至少4英寸。
CN201280026355.7A 2011-05-31 2012-05-16 用于电感耦合等离子体蚀刻反应器的气体分配喷头 Active CN103597113B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/118,899 US8562785B2 (en) 2011-05-31 2011-05-31 Gas distribution showerhead for inductively coupled plasma etch reactor
US13/118,899 2011-05-31
PCT/US2012/038091 WO2012166364A1 (en) 2011-05-31 2012-05-16 Gas distribution showerhead for inductively coupled plasma etch reactor

Publications (2)

Publication Number Publication Date
CN103597113A true CN103597113A (zh) 2014-02-19
CN103597113B CN103597113B (zh) 2016-08-17

Family

ID=47259746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280026355.7A Active CN103597113B (zh) 2011-05-31 2012-05-16 用于电感耦合等离子体蚀刻反应器的气体分配喷头

Country Status (6)

Country Link
US (3) US8562785B2 (zh)
JP (1) JP5891300B2 (zh)
KR (1) KR101985031B1 (zh)
CN (1) CN103597113B (zh)
TW (3) TWI559392B (zh)
WO (1) WO2012166364A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105938792A (zh) * 2015-03-06 2016-09-14 朗姆研究公司 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN106816373A (zh) * 2015-11-30 2017-06-09 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN108140550A (zh) * 2015-10-08 2018-06-08 应用材料公司 具有减少的背侧等离子体点火的喷淋头
TWI659446B (zh) * 2014-04-07 2019-05-11 美商蘭姆研究公司 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法
CN111094620A (zh) * 2017-08-31 2020-05-01 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积***
CN112041480A (zh) * 2018-04-10 2020-12-04 应用材料公司 解决在高温非晶碳沉积的厚膜沉积期间的自发电弧
CN112437969A (zh) * 2019-02-07 2021-03-02 玛特森技术公司 等离子体处理设备中具有成角度的喷嘴的气体供给装置
CN116288269A (zh) * 2023-02-20 2023-06-23 拓荆科技(上海)有限公司 一种薄膜沉积设备和一种薄膜沉积方法
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017083309A1 (en) * 2015-11-10 2017-05-18 Imagine Tf, Llc Microfluidic laminar flow nozzle apparatuses
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
TWI731463B (zh) * 2019-11-06 2021-06-21 聚昌科技股份有限公司 側向擾流式高均勻度感應耦合電漿蝕刻機之製造方法及其結構
WO2021154673A1 (en) * 2020-01-28 2021-08-05 Lam Research Corporation Segmented gas distribution plate for high-power, high-pressure processes
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
US20230402264A1 (en) * 2020-09-21 2023-12-14 Lam Research Corporation Carrier ring for floating tcp chamber gas plate
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP2975885B2 (ja) * 1996-02-01 1999-11-10 キヤノン販売株式会社 ガス分散器及びプラズマ処理装置
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3485505B2 (ja) * 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP2009260377A (ja) 2001-12-25 2009-11-05 Tokyo Electron Ltd 成膜方法及び処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7070833B2 (en) 2003-03-05 2006-07-04 Restek Corporation Method for chemical vapor deposition of silicon on to substrates for use in corrosive and vacuum environments
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
US20090218045A1 (en) * 2005-11-02 2009-09-03 Mitsuru Hiroshima Plasma processing apparatus
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US8906249B2 (en) * 2007-03-22 2014-12-09 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN203225233U (zh) * 2009-09-10 2013-10-02 朗姆研究公司 一种陶瓷侧气体喷射器
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US9523155B2 (en) * 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI659446B (zh) * 2014-04-07 2019-05-11 美商蘭姆研究公司 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法
CN105938792B (zh) * 2015-03-06 2021-09-07 朗姆研究公司 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN105938792A (zh) * 2015-03-06 2016-09-14 朗姆研究公司 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN108140550A (zh) * 2015-10-08 2018-06-08 应用材料公司 具有减少的背侧等离子体点火的喷淋头
CN106816373A (zh) * 2015-11-30 2017-06-09 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN106816373B (zh) * 2015-11-30 2022-06-21 台湾积体电路制造股份有限公司 制造半导体装置的方法
US11441222B2 (en) 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN111094620A (zh) * 2017-08-31 2020-05-01 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积***
US11725283B2 (en) 2017-08-31 2023-08-15 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN112041480A (zh) * 2018-04-10 2020-12-04 应用材料公司 解决在高温非晶碳沉积的厚膜沉积期间的自发电弧
CN112437969A (zh) * 2019-02-07 2021-03-02 玛特森技术公司 等离子体处理设备中具有成角度的喷嘴的气体供给装置
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
CN116288269A (zh) * 2023-02-20 2023-06-23 拓荆科技(上海)有限公司 一种薄膜沉积设备和一种薄膜沉积方法

Also Published As

Publication number Publication date
US20150318147A1 (en) 2015-11-05
WO2012166364A1 (en) 2012-12-06
US8562785B2 (en) 2013-10-22
KR101985031B1 (ko) 2019-05-31
US20140065827A1 (en) 2014-03-06
US20120309204A1 (en) 2012-12-06
CN103597113B (zh) 2016-08-17
TW201300570A (zh) 2013-01-01
TW201250831A (en) 2012-12-16
KR20140039010A (ko) 2014-03-31
TWI563121B (en) 2016-12-21
US9934979B2 (en) 2018-04-03
US9099398B2 (en) 2015-08-04
JP5891300B2 (ja) 2016-03-22
TW201641741A (zh) 2016-12-01
JP2014523635A (ja) 2014-09-11
TWI612179B (zh) 2018-01-21
TWI559392B (zh) 2016-11-21

Similar Documents

Publication Publication Date Title
CN103597113A (zh) 用于电感耦合等离子体蚀刻反应器的气体分配喷头
US10366865B2 (en) Gas distribution system for ceramic showerhead of plasma etch reactor
US11101136B2 (en) Process window widening using coated parts in plasma etch processes
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
KR20230057316A (ko) 개선된 프리커서 유동을 위한 반도체 처리 챔버
US8840725B2 (en) Chamber with uniform flow and plasma distribution
CN111463125A (zh) 使用多个流动途径的自由基化学调制及控制
TW201806030A (zh) 具有多個電漿配置構件之半導體處理系統
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
US20210032753A1 (en) Methods and apparatus for dual channel showerheads

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant