CN110265328A - 通过原位反馈的晶片放置和间隙控制最佳化 - Google Patents

通过原位反馈的晶片放置和间隙控制最佳化 Download PDF

Info

Publication number
CN110265328A
CN110265328A CN201910521735.1A CN201910521735A CN110265328A CN 110265328 A CN110265328 A CN 110265328A CN 201910521735 A CN201910521735 A CN 201910521735A CN 110265328 A CN110265328 A CN 110265328A
Authority
CN
China
Prior art keywords
distance
pedestal
base plate
top surface
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910521735.1A
Other languages
English (en)
Other versions
CN110265328B (zh
Inventor
K·格里芬
A·拉维德
A·明科夫齐
S·坎德沃尔
J·约德伏斯基
T·伊根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201910521735.1A priority Critical patent/CN110265328B/zh
Publication of CN110265328A publication Critical patent/CN110265328A/zh
Application granted granted Critical
Publication of CN110265328B publication Critical patent/CN110265328B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/12Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means
    • G01D5/14Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage
    • G01D5/24Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measurement Of Length, Angles, Or The Like Using Electric Or Magnetic Means (AREA)

Abstract

本发明涉及通过原位反馈的晶片放置和间隙控制最佳化。本发明描述了在工艺夹具和基座之间的尺寸控制和监控,和晶片位置测定的装置和方法。所述装置包含:处理夹具;至少一个接近传感器;和基座。所述处理夹具包含处理夹具主体,所述处理夹具主体具有处理夹具底表面、在所述处理夹具主体中的一或多个开口。所述至少一个接近传感器保持在所述处理夹具主体中的所述开口的至少一个之内。所述基座包含基座板,所述基座板具有基座板顶表面、基座中心点,和形成在所述基座板顶表面中与所述基座中心点相距距离RR的一或多个凹槽。

Description

通过原位反馈的晶片放置和间隙控制最佳化
本申请是申请日为2015年6月24日、申请号为201510355699.8、名称为“通过原位反馈的晶片放置和间隙控制最佳化”的中国专利申请的分案申请。
技术领域
本发明的原理和实施方式通常涉及定位和检测在基板支撑件或转盘中的晶片的装置和方法。
背景技术
新一代的处理工具需要对晶片和沉积源之间的间隙的更严格的控制以满足横跨晶片和不同晶片之间的成分和厚度均匀性。此外,工艺可在各种温度下,且在晶片表面和沉积源之间存在一系列分离的情况下进行。监控这些工艺的分离距离的均匀性对于确保适当沉积厚度和质量非常重要。
摄像机已被用于确保基板支撑件和处理夹具之间的距离的均匀性,其中摄像机可用以监控处理腔室的固定区段和检测支撑件和夹具之间的间隙的变化,或当物体处于摄像机的视场中时伸出到支撑件水平之上的物体的存在。
然而,摄像机在内部占据处理腔室之内的空间或在外部占据腔室周边周围的空间。摄像机也可受限于所述摄像机可能暴露的温度,因此使摄像机位于用于较高温度工艺的腔室之内可能并不是技术上可行的。例如,摄像机和其他光检测器将过热且不能用于追踪晶片的运动或所述晶片的凹槽直接在高于约80℃的工艺温度下。
摄像机也可通常被布置在晶片支撑件的外边缘周围,因此无法轻易地监控支撑件的内边缘。
腔室中用于查看孔口和光学器件的有限空间限制了用于远程成像的选择。来自工艺关键硬件的高温、等离子体发热和干扰限制了远程光学测量和腔室中的晶片放置的最佳化的选择。
发明内容
本发明的一方面通常涉及一种装置,所述装置包含:处理夹具,所述处理夹具包含处理夹具主体,所述处理夹具主体具有处理夹具底表面、在所述夹具主体中的一或多个开口,和在所述一或多个开口之内的一或多个接近传感器;和基座,所述基座包含基座板,所述基座板具有基座板顶表面、基座中心点,和形成在基座板顶表面中与基座中心点相距距离RR的一或多个凹槽;其中基座板顶表面和处理夹具底表面大体上平行,且基座板顶表面与处理夹具底表面分离达间隙距离DG;且一或多个开口和接近传感器与基座板顶表面大体上正交,且至少一个开口和接近传感器位于与基座中心点相距径向距离RR处。
本发明的一方面通常涉及一种装置,所述装置包含:处理夹具,包含处理夹具主体,所述处理夹具主体具有处理夹具顶表面、与所述处理夹具顶表面相对的处理夹具底表面、在所述处理夹具顶表面和处理夹具底表面之间的厚度,和在处理夹具底表面中的三个或三个以上开口;基座,包含基座板,所述基座板具有基座板顶表面、与所述基座板顶表面相对的基座板底表面、在基座板顶表面和基座板底表面之间的厚度,和在基座板顶表面中的一或多个凹槽,其中基座板顶表面和处理夹具底表面大体上平行,且基座板顶表面与处理夹具底表面分离达间隙距离DG;支柱,附着于基座板且界定基座的旋转轴,其中基座板顶表面中的一或多个凹槽与旋转轴相距距离RR;三个或三个以上电容式位移传感器,所述电容式位移传感器测量间隙距离,其中三个或三个以上电容式位移传感器中的每个被保持在处理夹具底表面中的三个或三个以上开口的一个之内,且三个或三个以上电容式位移传感器中的每个具有大体上平行于基座板顶表面的操作面;且其中三个或三个以上接近传感器中的第一个位于与旋转轴相距距离R1处,且三个或三个以上接近传感器中的第二个位于与旋转轴相距距离R2处,且三个或三个以上接近传感器中的第三个位于与旋转轴相距距离R3处,其中R2=RR且R1>R2>R3
本发明的一方面通常涉及一种方法,所述方法包含:围绕旋转轴旋转基座,所述基座包含顶表面和一或多个凹槽;在相距旋转轴一或多个径向距离处测量接近传感器的操作面和基座顶表面之间的间隙距离;检测当基座围绕旋转轴旋转时间隙距离中的变化;和确定相距间隙距离的一或多个表面特征结构的位置,所述间隙距离在相距旋转轴的一或多个径向距离处测量。
附图说明
本发明的实施方式的进一步特征,所述实施方式的特性和各种优点将在结合附图考虑以下详细描述之后变得更加明白,所述附图也可说明申请人所预期的最佳方式,且其中相同元件符号贯穿所述附图代表相同元件,在所述附图中:
图1A示出工艺夹具和基座的示例性实施方式的侧视图;
图1B示出描绘基座倾斜的工艺夹具和基座的示例性实施方式的侧视图;
图2A示出描绘晶片的不当定位的工艺夹具和基座的一部分的示例性实施方式的侧视图;
图2B示出工艺夹具和可操作关联的基座的示例性实施方式的另一视图;
图3示出基座的示例性实施方式的俯视图;
图4A示出工艺夹具和基座的示例性实施方式的正视图投影;
图4B示出其中在三个不同位置处的间隙距离测量可检测基座弧状弯曲的示例性实施方式;
图4C示出指示基座顶表面的斜率的平面映射的实例;
图5A至图5C示出至少部分地在凹槽中的晶片的不同可能的偏心位置的实例;
图6示出用于原子层沉积(atomic layer deposition;ALD)的注入器的示例性实施方式;
图7示出ALD注入器的示例性实施方式;和
图8示出ALD注入器的示例性实施方式。
具体实施方式
在描述本发明的若干示例性实施方式之前,应理解,本发明不限于以下描述中阐述的构造详情或工艺步骤。本发明能够具有其他实施方式和能够被以不同方式实践或执行。
遍及本说明书对“一个实施方式”、“某些实施方式”、“各种实施方式”、“一或多个实施方式”、“在一些实施方式中”或“一实施方式”的参考意指结合实施方式描述的特定特征、结构、材料或特性可被包括在本发明的至少一个实施方式中。此外,在遍及本说明书的不同位置处的诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一些实施方式中”、“在一个实施方式中”或“在一实施方式中”的用语的出现不一定是指本发明的相同实施方式。此外,所描述的特定特征、结构、材料,或特性可在一或多个实施方式中以任何适当方式结合。
如本文所使用的“基板表面”是指在制造工艺期间其上执行薄膜处理的基板上形成的任何基板或材料表面。例如,取决于应用,其上可执行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(silicon on insulator;SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料,和诸如金属、金属氮化物、金属合金,和其他导电材料的任何其他材料。基板包括但不限于半导体晶片。基板可被暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除直接地在基板自身表面上的薄膜处理之外,在本发明的实施方式中,所公开的任何薄膜处理步骤也可在基板上形成的底层上执行,如下文更详细地公开;和术语“基板表面”意在包括如上下文指示的所述底层。
本发明的原理和实施方式有关涉及工艺腔室中的晶片和工艺夹具之间的垂直尺寸控制的装置和工艺。
各种实施方式涉及包含处理夹具和基座的沉积装置,其中所述处理夹具包含附着于所述处理夹具的一或多个接近传感器,所述一或多个接近传感器可测量处理夹具和基座之间的距离。
在各种实施方式中,处理夹具可以是气体分配喷头、原子层沉积(ALD)注入器,或化学气相沉积(chemical vapor deposition;CVD)装置。
工艺夹具可被精确地设计以实现和保持特定的机械间隙,但是此间隙距离可随温度和在当工艺腔室打开用于维修时工艺夹具分离(例如,元件相对于彼此移动)的情况下改变。
本发明的实施方式还涉及位于不同位置处以检测对于工艺的基座凹槽中的晶片的角度和/或位置的接近传感器,所述工艺包括精确放置晶片至移动的工艺夹具中。
在各种实施方式中,数个接近传感器被大体上垂直地安装在基座之上的处理夹具中和朝向最接近于且面向处理夹具的基座表面。在一些实施方式中,数个接近传感器测量在传感器和直接在接近传感器之下的表面之间的距离。如在本说明书和附加权利要求书中所使用,术语“大体上垂直地”意指诸如接近传感器的物体的纵轴与参考面的法线形成一角度,所述角度小于5°,或小于2°,或小于1.5°,或小于1°,或小于0.5°,或小于0.2°,或约0.0°。
在各种实施方式中,处理夹具主体包含至少三个开口,且接近传感器可保持在每一开口之内。
在各种实施方式中,处理夹具包含四个开口和接近传感器。
在一些实施方式中,(诸)接近传感器可安装于在处理夹具中形成的(诸)开口中,所述开口被配置和调整尺寸以保持(诸)接近传感器。在一些实施方式中,开口可带螺纹且接近传感器可螺旋进入开口中。开口可被调整尺寸以用推配合或压配合保持接近传感器。接近传感器可使用胶水或粘合剂保持在开口中。接近传感器可被焊接到开口中。接近传感器可通过推配合、压配合、粘合剂或胶水、焊接和物理协同作用(例如,互补螺纹)的任一个的组合保持。
在各种实施方式中,接近传感器可从由电容式位移传感器和感应式位移传感器组成的群组中选择,其中测量电信号(例如,电容或电感)与传感器端面和相对表面的端面之间的距离近似地成比例。电信号表明基座表面和传感器之间的间隙。电容式位移传感器可测量下至纳米水平的物体的位置。感应式位移传感器可对多尘环境更加有弹性。
在各种实施方式中,来自一或多个电容式位移传感器的测量中的变化允许决定基座对处理夹具的平行性。当基座旋转时的电容式位移传感器和基座表面之间的距离变化可指示如果表面不平行于处理夹具、不垂直于旋转轴和/或基座厚度变化时的摆动。
基座中的一或多个凹槽可被配置和调整尺寸以接收晶片,其中(诸)晶片可具有不同直径(例如,76mm、100mm、200mm、300mm、450mm等等)和不同厚度(例如,160μm、200μm、275μm、375μm、525μm、625μm、725μm、775μm、925μm等等)。在一些实施方式中,凹槽深入基座表面中的深度可等于或稍微大于预期***到凹槽中的晶片的厚度,因此晶片顶部表面与基座顶表面齐平或稍微高于所述顶表面。
在各种实施方式中,数个接近传感器可沿着从处理夹具(例如,注入器、喷头)和/或基座的中心点径向延伸的直线布置,其中基座的旋转轴位于基座中心点处且与注入器的中心点对齐。在一些实施方式中,旋转轴的投影形成处理夹具和基座两者的中心线。
在各种实施方式中,三个接近传感器位于注入器中的垂直开口中,所述垂直开口沿着从处理夹具和基座的中心线径向延伸的直线布置。第一接近传感器和开口可位于相距中心点的径向距离R1处,以便传感器位于超出凹槽的基座的外侧部分之上。第二接近传感器和开口可位于相距中心线的径向距离R2处,以便传感器可位于基座中的凹槽之上。第三接近传感器和开口可位于相距中心线的径向距离R3处,以便传感器位于基座的内侧部分之上,所述位置比凹槽的内部边缘更接近于旋转轴。
在各种实施方式中,三个接近传感器位于垂直开口中,所述垂直开口并不是沿着从注入器的中心点径向延伸的直线,更确切些说是以三角图案布置。在此类实施方式中,第一接近传感器可位于相距中心线的径向距离R1处,以便传感器位于基座的外侧部分之上。第二接近传感器可位于相距中心线的径向距离R2处,以便传感器可位于基座中的凹槽之上。第三接近传感器可位于相距中心线的径向距离R3处,以便传感器位于基座的内侧部分之上。在一些实施方式中,三个或三个以上接近传感器可以相距中心的不同径向距离围绕工艺夹具圆周地分布。在各种实施方式中,至少三个接近传感器可以是电容式位移传感器、感应式位移传感器,或上述传感器的组合。
在各种实施方式中,使用当基座旋转时经过基座凹槽的接近传感器来测量传感器和基座之间的距离变化允许识别晶片是否在基座凹槽之内具有偏心位置。
在各种实施方式中,(诸)接近(例如,电容、电感)传感器可在比典型光学解决方案更高的温度下和更小的空间中操作。这种传感器可在实际工艺条件下进行测量以通常地确认和保持准确的晶片放置和间隙控制。这些测量的结果可被反馈到晶片传送***控制器以自动地保持最佳晶片放置和间隙控制。
在各种实施方式中,晶片传送***机器人可被训练以使用机械夹具(例如定位销)和视频反馈(例如摄像机晶片)将晶片准确地放置到工艺腔室中。然而,当作为工艺顺序的一部分加热和冷却腔室时,机器人放置性能和最佳晶片放置位置(例如,凹穴或基座中心)可移动。因此,本发明的一或更多个实施方式提供用于增加晶片放置的准确度的装置和方法。
在各种实施方式中,控制器可与晶片传送***机器人电子通信,和提供从一或多个接近传感器获得的关于基座的一或多个凹槽中的一或多个晶片的定位的原位反馈。晶片的间隙距离DG的测量可用来调整晶片传送***机器人的运动以补偿基座形状,和/或凹槽运动和定位中的变化。
在各种实施方式中,偏心晶片位置的检测可用于通过晶片传送***机器人重新计算随后的晶片在基座凹槽中的放置,以避免使晶片至少部分地在凹槽之外和补偿偏心晶片位置。在各种实施方式中,通过控制器计算的调整可被传递至晶片传送***机器人以调整晶片传送***机器人的定位和运动。
参考附图更详细地描述本发明的各种示例性实施方式。应将理解,这些附图仅说明一些实施方式,且不表示应对附加权利要求书进行参考的本发明的完整范围。
图1A示出处理夹具100和基座200的示例性实施方式的侧视图。在各种实施方式中,处理夹具包含具有一或多个开口120的处理夹具主体110,其中每一开口可被配置和定尺寸以接收接近传感器130。(诸)开口120可相距处理夹具的中心和/或基座200的旋转轴50一径向距离定位。(诸)接近传感器130可通过电路径139与仪表和/或控制器电通信。处理夹具可进一步包含处理夹具顶表面112、处理夹具底表面117,且处理夹具在处理夹具顶表面112和处理夹具底表面117之间具有厚度。处理夹具底表面117与处理夹具顶表面112相对,且处理夹具底表面117可大体上平行于处理夹具顶表面112。处理夹具底表面117可形成大体上水平面,所述水平面可平行于基座板顶表面212。一或多个开口可形成在处理夹具底表面117中。在各种实施方式中,开口可以是通过处理夹具厚度的通孔。
在各种实施方式中,与至少三个接近传感器电通信的控制器通过电路径从接近传感器接收电信号和确定在至少三个接近传感器和所述至少三个接近传感器之下的表面特征结构之间的距离,且如果所述距离在预期范围之外提供一警报。警报可提供晶片未对准的音响指示(例如,警报器)、视觉指示(例如,显示器上的闪光或图像)或上述指示的组合。如果距离在预期范围之外或晶片未对准,那么控制器可中断晶片的处理。
控制器可以是计算机,所述计算机可以是单板计算机、膝上型计算机、台式计算机、服务器、大型机、平板电脑(pad)、平板电脑(tablet)、专用集成电路(applicationspecific integrated circuit;ASIC),或本领域已知的其他模拟和数字电子电路。控制器可从接近传感器接收电子信号和执行数据采集,所述数据采集可用于后续计算和决定;且控制器可发送电子信号至电动机、致动器、阀门和其他元件。计算机可包含用于存储程序、采集的数据和计算值的暂时性和非暂时性存储器。控制器也可与各种仪表通信和相互作用,所述仪表连接到接近传感器且与接近传感器电通信。非暂时性计算机可读介质可以是非暂时性计算机存储器,所述计算机存储器诸如硬盘驱动器、光学驱动装置(CD、DVD、Blu-ray)、闪存驱动器,和其他可移动或不可移动存储器。
在各种实施方式中,基座包含基座板210,基座板210包含基座板顶表面212、基座板底表面217,且基座板210具有在基座板顶表面212和基座板底表面217之间的厚度。基座板顶表面212形成大体上水平面,所述水平面可支撑放置在所述水平面上的物体。基座板底表面217与基座板顶表面212相对,且可大体上平行于基座板顶表面212。
在各种实施方式中,基座可进一步包含支柱220,所述支柱220可定位在基座板210的中心,且支柱220可界定基座200的旋转轴50。支柱220可以是附着于基座板210的单独元件或是基座板210的组成部分,其中支柱220和基座板210两者是由相同的材料块组成(例如,通过铸造或机械加工)。
在各种实施方式中,基座可由导电材料(例如,金属)、非导电材料(例如,碳、石墨),或上述材料的组合制成。
在各种实施方式中,一或多个凹槽230可形成在基座板210的基座板顶表面212中。在一些实施方式中,(诸)凹槽230可具有小于基座板210的厚度的深度、其上可放置晶片的支撑面235,和围绕支撑面235周边的壁。在一些实施方式中,(诸)凹槽可具有水平凸缘,所述水平凸缘可支撑放置在其上的晶片的边缘。
在一些实施方式中,如图1A中所示,在基座200的顶表面212中的凹槽230被调整大小以便在凹槽230中支撑的晶片300具有顶表面320,所述顶表面320大体上平行于基座200的顶表面212。具有与基座200的顶表面212共面的顶表面320的晶片也可被称为与顶表面212齐平的晶片300。
如在本说明书和附加权利要求书中所使用,术语“大体上共面”意指晶片的顶表面与基座组件的顶表面在±0.2mm的公差范围内共面。在一些实施方式中,顶表面在±0.15mm、±0.10mm或±0.05mm的公差范围内共面。
在各种实施方式中,处理夹具100与基座200处于空间关系中,以使得处理夹具100的底表面117在基座的顶表面212之上且大体上平行于基座的顶表面212,且基座200的中心和/或旋转轴与处理夹具的中心线大体上对齐。处理夹具100的底表面117与基座200的顶表面212分离达距离DG,所述距离DG在两个表面之间形成间隙。
在各种实施方式中,间隙距离DG在约0.1mm至约5.0mm的范围之内,或在约0.1mm约至约3.0mm的范围内,或在约0.1mm至约2.0mm的范围内,或在约0.2mm至约1.8mm的范围内,或在约0.3mm至约1.7mm的范围内,或在约0.4mm至约1.6mm的范围内,或在约0.5mm至约1.5mm的范围内,或在约0.6mm至约1.4mm的范围内,或在约0.7mm至约1.3mm的范围内,或在约0.8mm至约1.2mm的范围内,或在约0.9mm至约1.1mm的范围内,或是约1mm。
在各种实施方式中,间隙距离DG可通过上升和/或下降基座200来调整。在一些实施方式中,处理腔室中的基座200的高度可用高度调节设备来调节,所述高度调节设备操作地关联于基座支柱220。
在各种实施方式中,一或多个接近传感器130可以是电容式或感应式位移传感器,所述位移传感器可产生电信号,所述电信号与传感器的操作面和位于传感器操作面137之下的表面之间的距离有关。在一些实施方式中,接近传感器可产生可包含电压和/或电流的信号,所述信号与传感器操作面137和直接相对于传感器操作面137的表面之间的测量距离成比例,所述表面可以是基座顶表面212、凹槽支撑面235、位于基座顶表面212上或至少部分地在凹槽230中的晶片的顶面。在其中接近传感器130的传感器操作面137与处理夹具100的底表面117平等或齐平且与基座顶表面212的至少一部分相对的一些实施方式中,测量距离可等于间隙距离DG。在其中接近传感器130的传感器操作面137不与处理夹具100的底表面117平等或齐平的一些实施方式中,可包括偏移量以根据测量距离计算间隙距离DG
在各种实施方式中,电容式位移传感器对于约0.1mm至约5.0mm的间隙距离范围可具有约0.2nm至约28nm的灵敏度范围,或对于约0.1mm至约2.0mm的间隙距离范围可具有约0.2nm至约12nm的灵敏度范围,或对于约0.5mm至约1.25mm的间隙距离范围可具有约0.8nm至约7nm的灵敏度范围,其中灵敏度可以是在测量范围处的垂直分辨率。
图1B示出描绘基座倾斜的工艺夹具和基座的示例性实施方式的侧视图。在操作期间和随着时间推移,基座可经历一些翘曲、下垂、对准变化,或基座板形状和/或尺寸的其他变形。基座板形状和/或尺寸的这些变化可以例如由于处理温度的变化而是暂时的,或者例如由于基座板210在支柱220上的不当安装,或非弹性应力和/或应变而是永久的。
在一些实施方式中,基座板对准、形状和/或尺寸中的所述变化可通过一或多个接近传感器130来检测,所述一或多个接近传感器130测量在传感器操作面137和与传感器操作面137相对的表面之间的间隙距离DG1、DG2和DG3。一或多个间隙距离DG1、DG2和DG3的测量值的差异可指示基座板是倾斜的,且倾斜角θT由接近传感器130的已知定位和测量的间隙距离DG1、DG2和DG3中的差异之间的关系来计算。大于预定阈值的倾斜角θT可用于例如通过警报指示基座不在公差范围之内和需要维修或替换。
图2A示出描绘晶片在基座板的凹槽之内的不当定位的处理夹具100和基座200的一部分的示例性实施方式的侧视图。当晶片被不适当地放置于凹槽230中时,晶片300的边缘可放置在凹槽230的支撑面235之上,且晶片300的表面可放置在凹槽边缘239上,以便晶片处于倾斜状态和至少部分地在凹槽230外。
在各种实施方式中,至少一个接近传感器可位于处理夹具100中的一位置处,所述接近传感器至少暂时地在可含有晶片300的凹槽230的至少一部分之上经过。如果晶片在凹槽中齐平,那么至少一个接近传感器可检测一间隙距离,所述间隙距离不同于将被测量的值。在一些实施方式中,至少一个接近传感器可横跨凹槽的中间三分之一部分。
在各种实施方式中,晶片是否大体上与凹槽共面可通过当晶片大体上与凹槽共面时将测量间隙距离与期望值比较来决定。
图2B示出描绘晶片在基座板210的凹槽之内的不当定位的处理夹具100和操作地关联的基座200的示例性实施方式的剖面侧视图。工艺夹具100的一部分和基座200的一部分展示保持在开口120中的四个接近传感器的放置,所述开口位于基座200的不同部分之上。在实施方式中,第一接近传感器131位于相距旋转轴50的最远处且最接近于工艺夹具100和基座200的外边缘,和测量第一间隙距离DG1;第二接近传感器132位于处理夹具100中的一位置,所述位置与操作关联的基座200中的一或多个凹槽230的中心部近似重合,以便传感器132经过一或多个凹槽,且第二接近传感器132测量第二间隙距离DG2。第三接近传感器133位于最接近旋转轴50且相距处理夹具100和基座200的边缘最远之处,且测量间隙距离DG3;和第四接近传感器134位于第二接近传感器132和第三接近传感器133之间,其中所述第四接近传感器134位于处理夹具100中的一位置,所述位置与一或多个凹槽230的壁237近似重合,且第四接近传感器134测量第四间隙距离DG4
在各种实施方式中,接近传感器131、132、133和134可与仪表和/或控制器电通信,所述仪表和/或控制器可检测来自接近传感器的电信号和计算间隙距离DG1、DG2、DG3和DG4,以决定基座板210是否平行于处理夹具100的底表面,和/或晶片300是否适当地位于凹槽230之内。
在各种实施方式中,控制器可通过电路径接收电信号和根据电信号确定距离值。距离值可被存储在非暂时性计算机可读介质上和/或用于近似实时地测量和比较距离值。
在各种实施方式中,基线间隙距离和测量间隙距离可被存储在非暂时性计算机可读介质中用于后续计算和统计分析。
作为非限制性实例,基座板210的平行顶表面212和与基座板210的顶表面212齐平的适当定位的晶片可对于接近传感器131、132、133和134产生在预期公差和变化之内的距离值,其中DG1=DG2=DG3=DG4。相反,当晶片没有被适当地放置在凹槽230之内时,因此晶片没有适当地定位,在凹槽之上经过的接近传感器132可提供相当于一距离值的电信号,所述距离值小于或大于当晶片齐平时预期的值,以使得DG2≠DG1和/或DG3。同样地,移位的晶片可产生小于预期值的距离值,因为晶片边缘在基座板210的顶表面212之上。与一或多个凹槽230的壁237近似重合的接近传感器134可提供相当于一距离值的电信号,所述距离值小于当晶片齐平时预期的值,以使得DG4≤DG1和/或DG3。在实施方式中,控制器也可比较DG4和DG2的测量值,以使得如果DG4≠DG2,那么表明晶片没有被适当定位。
图3示出具有所示的半径RS和六个凹槽230的基座200的示例性实施方式的俯视图,其中基座板210的顶表面212和凹槽230的支撑面235可见。当基座旋转时的一或多个接近传感器可在顶表面212周围追踪的路径被示出为虚线。一或多个接近传感器可位于相距旋转轴50一预定径向距离的操作关联的处理夹具(未示出)中,所述位置与预期被监控的基座200的特征重合。
在各种实施方式中,具有内径的一或多个凹槽可围绕基座圆周地布置,其中一或多个凹槽的(诸)中心可位于相距基座旋转轴的距离RR处。在各种实施方式中,至(诸)凹槽中心的距离RR近似等于从基座的旋转轴50到开口和接近传感器的距离,因此当基座旋转时接近传感器在(诸)凹槽的中心上方经过。
在实施方式中,如图3中所示,开口和接近传感器可位于操作关联的处理夹具中的一位置处,所述位置与基座200的旋转轴50相距距离R1,所述位置与超出凹槽的基座板210的顶表面212的一部分重合且可不被其他表面特征结构中断。位于相距旋转轴50的距离R1处的接近传感器可最接近于基座板210和处理夹具的边缘。
在各种实施方式中,表面特征结构可包括由凹槽中的晶片定位产生的凹槽和间隙距离变化。
在实施方式中,如图3中所示,开口和接近传感器可位于操作关联的处理夹具中的一位置处,所述位置与基座的旋转轴50相距距离R2,所述位置在六个凹槽230之上经过且可至少暂时地与六个凹槽230的中心近似地重合。
在实施方式中,如图3中所示,开口和接近传感器可位于操作关联的处理夹具中的一位置处,所述位置与基座的旋转轴50相距距离R3,所述位置与比凹槽更接近的基座板210的顶表面212的一部分重合且可不被其他表面特征结构中断。位于与旋转轴50相距距离R3处的接近传感器可与基座板210和处理夹具的边缘相距最远,且最接近于旋转轴50。
在实施方式中,如图3中所示,开口和接近传感器可位于操作关联的处理夹具中的一位置处,所述位置在与基座的旋转轴50相距距离R4处,所述位置通过六个凹槽230且可至少暂时地与六个凹槽230的壁237重合。
仍由图3所示,开口和接近传感器可如由箭头所示围绕处理夹具圆周地分散,同时还当基座旋转时在径向距离R1、R2、R3和R4处围绕基座追踪相同路径。
虽然基座200在图3中被描绘成圆板,但是可在不背离本发明的精神和范围的情况下使用其他形状,例如基座可具有正方形、五边形、六边形,或其他多边形形状。
在各种实施方式中,晶片可被放置在一或多个凹槽230中,其中(诸)晶片具有小于凹槽直径的外径。
图4A示出工艺夹具和基座200的示例性实施方式的正视图投影,其中工艺夹具包含两个楔形剖面101、102。在各种实施方式中,基座可具有一或多个凹槽,例如,在图4A中示出围绕基座200对称放置的八个凹槽。在剖面101中示出被配置和定尺寸以保持接近传感器的三个开口,且投射到基座200上的每一开口的重合位置被描绘为虚线圆295。第一开口121位于相距基座的旋转轴50和处理夹具100的中心线距离R1处。第二开口122位于相距基座的旋转轴50和处理夹具100的中心线距离R2处。第三开口123位于相距基座的旋转轴50和处理夹具100的中心线的距离R3处。如图3中先前所示,当基座围绕旋转轴50旋转时,位于开口120中的接近传感器将追踪基座表面之上的圆形监控路径,且所述接近传感器将测量传感器的操作面和基座200的顶表面212上的特征之间的间隙距离。
在各种实施方式中,剖面102可包含开口,所述开口可以是除了剖面101中的开口之外的开口。
虽然图4A描绘在处理夹具的相同剖面101上以直线布置的开口121、122和123,但是在其他示例性实施方式中,开口和接近传感器的每个可位于处理夹具的不同剖面上,但是如果开口和传感器仍然位于每个不同径向距离R1、R2和R3处,那么相同圆周路径将通过接近传感器在基座的周转期间被扫描。在各种实施方式中,接近传感器可以一速度扫描基座表面,所述速度在约10mm/s和约150mm/s之间,或在约50mm/s和约100mm/s之间,或在约55mm/s和90mm/s之间,或是约90mm/s。
随着晶片尺寸增加和产量需要最大化而引起多个晶片被同时地处理,基座和工艺夹具的尺寸增加。在所述***中,存在沿着基座板下垂,以及晶片离开支撑件(例如,支柱、凹槽凸缘)位置的机会。
图4B示出其中在三个不同位置的间隙距离测量DG1、DG2和DG3可检测例如由于材料疲劳、过热,或其他塑性变形的基座弯曲的示例性实施方式。在各种实施方式中,接近传感器可连续地扫描基座的顶表面和监控间隙距离中的变化,和控制器可确定对于基座旋转的至少一部分是否DG1>DG2>DG3
在各种实施方式中,至少三个开口和保持在至少三个开口之内的至少三个接近传感器可在处理夹具中沿着直线布置,以便接近传感器可检测基座的弯曲。
图4C示出指示至基座200的顶表面的平面的斜度的平面映射。在各种实施方式中,可同时地获得不形成直线的三个或三个以上接近传感器位置的间隙距离,且所述三个值用于计算平面,其中ax+by+cz=d。三个或三个以上同时测量和计算可决定基座是否在特定方向上倾斜和/或不与关联处理夹具平行。
在各种实施方式中,至少三个开口和保持在至少三个开口之内的至少三个接近传感器可在处理夹具中以三角图案布置,以便接近传感器可为平面的至少三个点提供测量以便检测基座的倾斜。
图5A至图5C示出至少部分地在基座的凹槽230中的晶片300的不同可能的偏心位置的实例。因为晶片300具有比凹槽的内径至少稍微较小的外径以在凹槽之内适配,所以可能存在晶片移动位置的空间。在非限制性实例中,虚线指示接近传感器可随着基座旋转扫描的近似路径。如与当接近传感器扫描基座表面和凹槽时的间隙距离DG相关的特征高度的图形被示出在每个假设的晶片布置之上,其中垂直虚线指示由于表面特征结构的DG的变化而引起的特征高度变化。
在各种实施方式中,间隙距离的基线值可通过测量在参考点处的间隙距离来建立,例如已知平行于接近传感器的操作面且不被其他表面特征结构中断的基座板顶表面的一部分。
通常,晶片边缘的位置可相对于凹槽的中心和凹槽壁定中心,所述凹槽和凹槽壁界定最佳晶片放置位置。
图5A示出至少部分地在凹槽230外的晶片300的实例,因此所述晶片没有适当定位且具有倾斜角。在大体上水平的基座的顶表面212之上经过的接近传感器可提供DG和特征高度的基线,如图形的第I部分中所示。当接近传感器通过凹槽230的边缘时,传感器可检测DG的突然增加,所述突然增加指示特征高度的减小,如图形的第II部分中所示。当接近传感器到达偏心定位的晶片300的边缘时,由于靠在凹槽的凸起边缘上的晶片倾斜,接近传感器检测到大约晶片厚度的DG减小和特征高度增加,继之以近似稳定的特征高度增加,如图形的第III部分中所示。当晶片在接近传感器之下移动时,未倾斜的晶片可能不显示任何特征高度变化,因为检测到大约稳定(例如,线性)的特征高度增加可能指示倾斜的晶片。由于在凹槽230的边缘之上延伸的晶片的部分,测量特征高度可增加到由基座顶表面设定的基线之上。在接近传感器已经过晶片的后边缘之后,所述传感器可对于顶表面检测回到基线的特征高度的突然减小。
在各种实施方式中,至少部分地在凹槽外的晶片可通过检测间隙距离来决定,所述间隙距离随着基座旋转线性增加或减小。
在各种实施方式中,诸如凹槽和晶片的表面特征结构可通过以下步骤来检测:围绕旋转轴旋转基座,所述基座包含顶表面和一或多个凹槽;在相距旋转轴的一或多个径向距离处测量在接近传感器的操作面和基座顶表面之间的间隙距离;检测当基座围绕旋转轴旋转时的间隙距离中的变化;和根据在相距旋转轴的一或多个径向距离处测量的间隙距离来决定一或多个表面特征结构的位置。
在各种实施方式中,可将测量间隙距离与基线间隙距离比较,且间隙距离的增大可识别当基座旋转时的一或多个凹槽的前边缘。
在各种实施方式中,空凹槽可通过检测间隙距离来识别,所述间隙距离比基线间隙距离大达约凹槽的已知深度。在一或多个凹槽的至少一个凹槽中的晶片的存在可通过检测一间隙距离来识别,所述间隙距离在基座的特定旋转期间大于基线间隙距离,但小于凹槽深度。
图5B示出位于凹槽230之内且经相抵于壁定位至凹槽侧面的晶片300的实例。在大体上水平的基座的顶表面212之上经过的接近传感器可提供DG和特征高度的基线,如图形的第I部分中所示。当接近传感器通过凹槽230的边缘时,传感器可检测DG的突然增加,所述突然增加指示特征高度的减小,如图形的第II部分中所示。当接近传感器到达偏心定位的晶片300的边缘时,接近传感器检测到大约晶片厚度的DG中的减小和特征高度的增大,如图形的第III部分中所示。此外,I中的基线特征高度和当通过III中的晶片时的特征高度之间的差异可指示晶片是否与基座的的顶表面212大致齐平。因为,在此实例中,晶片大致相抵于接近传感器经过的凹槽230的壁,所以接近传感器可检测特征高度的微小增加,所述微小增加等于晶片300的顶部低于基座的顶表面212的距离,如图形的第IV部分所示。
图5C示出相抵于壁远离凹槽中心对角定位的晶片300的实例。当接近传感器通过凹槽230的边缘时,传感器可检测DG的突然增加,所述突然增加指示特征高度的减小,如图形的第II部分中所示。因为在此实例中仅示出了在晶片和凹槽壁之间的最小间隙,所以接近传感器可能取决于接近传感器的横向空间分辨率而检测不出间隔。在各种实施方式中,接近传感器可具有大约等于电场大小的横向空间分辨率,和在接近传感器的传感区域之下测量至目标的平均距离,例如接近传感器可具有等于或小于约2.5mm、或等于或小于约1.5mm、或等于或小于约1.1mm的横向空间分辨率,其中横向空间分辨率可取决于接近传感器的传感区域的尺寸。当接近传感器通过晶片300的边缘时,传感器可检测DG中的突然增大,此突然增大指示特征高度的下降,如图形的第III部分中所示;此特征高度下降之后增加回到基准值,如图形的第IV部分中所示。
在各种实施方式中,在旋转期间大致通过凹槽中心的接近传感器可检测间隔至晶片任一侧的尺寸,和计算晶片的位置相对于凹槽壁和基座顶表面的近似偏心率。晶片位置的偏心率可通过测量横向距离来决定,所述横向距离由基座在识别凹槽的前边缘和识别在凹槽中的晶片存在之间穿过。
在各种实施方式中,基座的旋转可以是间歇的,例如在基座停止以便装载或卸载晶片的情况下;且当基座正在旋转时,可进行在接近传感器的操作面和基座顶表面之间的间隙距离的测量。
在各种实施方式中,基座的旋转可以是连续的,且在接近传感器的操作面和基座顶表面之间的间隙距离的测量可以是连续的。
图6示出包含注入器400的处理夹具,所述注入器400可引入(诸)气体用于原子层沉积。注入器可包含一系列通道,所述一系列通道可被顺序地布置和配置和定尺寸以从注入器和基座之间的间隙传送或排空气体。所述处理夹具/注入器也可被称为气体喷头。
在各种实施方式中,通道可被以操作序列布置,其中传送反应气体至间隙的通道具有至少在气体传送通道的任一侧上排空气体的通道。在一些实施方式中,排空气体的通道可围绕传送反应气体的通道。在一些实施方式中,传送非反应净化气体的通道可邻近于排空气体的至少一个通道。在一些实施方式中,传送非反应净化气体的通道可围绕排空气体的通道。
在各种实施方式中,接近传感器的一或多个开口可位于ALD注入器的不同部分中,例如在气体输送通道之内、在排气通道之内,或在分离两个通道的壁之内。
在实施方式中,开口和传感器可位于气体传送通道之内,所述气体传送通道传送净化气体以减少或防止在一不同的通道处进入的反应沉积气体沉积在传感器的操作面上。在接近传感器的操作面上的非预期材料层的形成可改变传感器的电特性和影响测量的距离值,如此可使接近传感器不起作用。
在一些实施方式中,ALD注入器中的开口和传感器可至少通过排气通道与气体传送通道分离。
在一些实施方式中,ALD注入器中的开口和传感器可至少通过净化气体通道和排气通道与气体传送通道分离。
如图6中所示的非限制性实例可包含(从左至右)在注入器周边处的气体排空通道455、邻近于气体排空通道455的净化气体通道445、邻近于净化气体通道445的气体排空通道455、邻近于气体排空通道455的用于传送反应性气体A的反应性气体通道425、邻近于反应性气体通道425的气体排空通道455、邻近于气体排空通道455的净化气体通道445、邻近于净化气体通道445的气体排空通道455、邻近于气体排空通道455的用于传送反应性气体B的反应性气体通道435、邻近于反应性气体通道435的气体排空通道455、邻近于气体排空通道455的净化气体通道445,和邻近于净化气体通道445的在最右侧的气体排空通道455。
在各种实施方式中,用于传感器的开口120可位于将气体排空通道455与相邻净化气体通道445分离的壁中,其中净化气体通道445比开口更接近于反应性气体通道425、435。将开口120放置在距离反应性气体通道425、435的净化气体通道445的远侧提供了非反应气体的筛网,所述筛网减少或防止反应气体到达保持在开口120中的接近传感器的操作面。
在各种实施方式中,用于传感器的开口120可位于将气体排空通道455与相邻净化气体通道455分离的壁中,其中气体排空通道455比开口120更接近于反应性气体通道425、435,如图6中所示。将开口120放置在相距反应性气体通道425、435的气体排空通道455的远侧上允许反应性气体被排空,以减少或防止反应气体到达保持在开口120中的接近传感器的操作面。
在各种实施方式中,传感器的开口120可位于净化气体通道445中,以便净化气体在保持于开口120中的接近传感器的操作面上直接地流动,如图6中所示。将开口120放置在净化气体通道445中提供了非反应气体的筛网,所述筛网减少或防止反应气体到达保持在开口120中的接近传感器的操作面。
在实施方式中,工艺夹具是用于原子层沉积的包含至少十一个通道的注入器,其中至少三个通道传送净化气体,且至少三个开口和至少三个接近传感器位于净化气体通道之内。
在实施方式中,工艺夹具是用于原子层沉积的包含至少十一个通道的注入器,其中两个通道传送反应性气体、至少三个通道传送净化气体、至少六个通道在真空之下以排空气体;和将相邻通道分离的壁,且至少三个开口和至少三个接近传感器位于净化气体通道和真空通道之间的壁之内。
图7示出ALD注入器400的示例性实施方式的剖视图,此图描绘用于传送反应气体A和B、净化气体P,和通过真空V排空气体的大体上平行通道的连续布置。导管440传送净化气体至净化气体P通道,且导管450被连接到真空V通道且与真空V通道流体连通。如在本说明书和附加权利要求书中所使用,在此方面中使用的术语“大体上平行通道”意指气体通道的细长轴在相同的大致方向上延伸。气体通道的平行性可能有轻微的不足。数个大体上平行的气体通道可包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。
如图8中所示的注入器的非限制性实例可包含围绕楔形反应性气体通道425、435的数个气体排空通道455,和位于气体排空通道455之间的楔形净化气体通道445,上述通道以围绕圆形注入器的图案布置。反应性气体通道425可传送反应性气体A,且反应性气体通道435可传送反应性气体B。
在各种实施方式中,用于传感器的开口120可位于将气体排空通道455与相邻净化气体通道445分离的壁中,和/或在净化气体通道445之内。将开口120放置在净化气体通道445之内且通过气体排空通道455与反应性气体通道425、435分离提供了非反应气体的筛网,所述筛网减少或阻止反应气体到达保持在开口120中的接近传感器的操作面。
在各种实施方式中,开口120和接近传感器130可以直线、三角图案或随机图案布置。如果接近传感器没有与注入器的表面完全地齐平,那么偏移量可被包括在DG的任何计算中。
实施方式涉及使用接近传感器以检测高度变化、记录晶片边缘相对于腔室中的晶片对准夹具的位置的横向位置,和确定凹槽中的晶片定位的方法。
应将认识到,本发明的实施方式的工艺、材料和设备提供优于用于光刻胶的当前已知工艺、材料和设备的若干优点。
尽管已参考特定实施方式描述了本文的发明,但是应理解,这些实施方式仅为本发明的原理和应用的说明。将对本领域技术人员显而易见的是,在不背离本发明的精神和范围的情况下,可对本发明的材料、方法和装置进行各种修改和变化。因此,本发明意图包括在附加权利要求书和所述权利要求书的同等物范围之内的修改和变化。

Claims (13)

1.一种用于定位和检测在基板支撑件或转盘中的晶片的装置,包含:
处理夹具,包含处理夹具主体,所述处理夹具主体具有处理夹具底表面、在所述处理夹具主体中的至少三个开口;
至少三个接近传感器,保持在所述处理夹具主体中的所述至少三个开口之内;和
基座,所述基座包含基座板,所述基座板连接至界定旋转轴的支柱,所述基座板具有顶表面和位于与所述旋转轴相距距离RR的基座中心点;
其中所述基座板顶表面与所述处理夹具底表面分离达间隙距离DG;以及
至少三个接近传感器的每一个具有大体上平行于所述基座板顶表面的操作面,且第一开口位于相距所述基座中心点的距离R1处,第二开口位于相距所述基座中心点的距离R2处,而第三开口位于相距所述基座中心点的距离R3处,R2=RR且R1>R2>R3,并且所述接近传感器是对于约0.1mm至约5.0mm的间隙距离范围具有约0.2nm至约28nm的灵敏度范围的电容式位移传感器。
2.如权利要求1所述的装置,其中所述处理夹具主体包含四个开口和保持在所述四个开口之内的四个接近传感器,其中第四开口位于相距所述基座中心点的距离R4处,且R2>R4>R3
3.如权利要求1所述的装置,所述装置进一步包含与所述至少三个接近传感器电通信的控制器,其中所述控制器通过电路径接收电信号和确定在所述至少三个接近传感器和所述至少三个接近传感器之下的表面特征结构之间的距离,和如果所述距离在预期范围之外提供一警报。
4.如权利要求1所述的装置,其中所述至少三个开口和保持在所述至少三个开口之内的至少三个接近传感器可在所述处理夹具中沿着直线布置,以便所述接近传感器可检测所述基座的弯曲。
5.如权利要求1所述的装置,其中所述至少三个开口和保持在所述至少三个开口之内的至少三个接近传感器可在所述处理夹具中以三角图案布置,以便所述接近传感器可提供用于检测所述基座的倾斜的测量。
6.如权利要求1所述的装置,其中所述处理夹具是用于原子层沉积的注入器。
7.如权利要求1所述的装置,其中所述基座中心点与所述处理夹具的中心点对齐。
8.一种用于定位和检测在基板支撑件或转盘中的晶片的装置,包含:
处理夹具,包含处理夹具主体,所述处理夹具主体具有处理夹具顶表面、与所述处理夹具顶表面相对的处理夹具底表面、在所述处理夹具顶表面和所述处理夹具底表面之间的厚度,和在所述处理夹具底表面中的三个或三个以上开口;
基座,包含:
基座板,所述基座板具有基座板顶表面、与所述基座板顶表面相对的基座板底表面、以及在所述基座板顶表面和所述基座板底表面之间的厚度,其中所述基座板顶表面和所述处理夹具底表面大体上平行,且所述基座板顶表面与所述处理夹具底表面分离达间隙距离DG;和
支柱,附着于所述基座板且界定所述基座的旋转轴,其中所述基座中心点与所述旋转轴相距距离RR
三个或三个以上电容式位移传感器,所述电容式位移传感器测量间隙距离,其中所述三个或三个以上电容式位移传感器中的每个被保持在所述处理夹具底表面中的所述三个或三个以上开口的一个之内,所述三个或三个以上电容式位移传感器中的每个具有大体上平行于所述基座板顶表面的操作面,且所述电容式位移传感器中的每个对于约0.1mm至约5.0mm的间隙距离范围具有约0.2nm至约28nm的灵敏度范围;和
其中所述三个或三个以上电容式位移传感器中的第一个位于与旋转轴相距距离R1处,且所述三个或三个以上电容式位移传感器中的第二个位于与旋转轴相距距离R2处,且所述三个或三个以上电容式位移传感器中的第三个位于与旋转轴相距距离R3处,其中R2=RR且R1>R2>R3
9.一种定位和检测在基板支撑件或转盘中的晶片的方法,包含:
围绕旋转轴旋转基座,所述基座包含顶表面并具有与所述旋转轴相距距离RR的基座中心点;
使用至少三个电容式位移传感器从相距所述旋转轴至少三个径向距离处测量处理夹具底表面内的电容式位移传感器的操作面和所述基座的所述顶表面之间的间隙距离DG,所述至少三个电容式位移传感器保持在所述处理夹具底表面中的至少三个开口内,第一开口位于与所述旋转轴相距距离R1处,第二开口位于与所述旋转轴相距距离R2处,而第三开口位于与所述旋转轴相距距离R3处,R2=RR,R1>R2>R3,并且所述电容式位移传感器对于约0.1mm至约5.0mm的间隙距离范围具有约0.2nm至约28nm的灵敏度范围;
检测当所述基座围绕所述旋转轴旋转时所述间隙距离中的变化;和
确定相距所述间隙距离的晶片的位置,所述间隙距离在相距所述旋转轴的所述至少三个径向距离处测量。
10.如权利要求9所述的方法,所述方法进一步包含:通过在参考点处测量所述间隙距离来建立基线间隙距离。
11.如权利要求10所述的方法,所述方法进一步包含:通过测量横向距离来决定晶片位置的偏心率,所述横向距离由所述基座在识别所述晶片的前边缘之间穿过。
12.如权利要求9所述的方法,其中所述基座的所述旋转是间歇的,且当基座旋转时进行在电容式位移传感器的所述操作面和所述基座的所述顶表面之间的所述间隙距离的测量。
13.如权利要求9所述的方法,所述方法进一步包含:通过在形成三角图案的三个或三个以上位置处同时地测量所述间隙距离来确定基座的倾斜。
CN201910521735.1A 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化 Active CN110265328B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910521735.1A CN110265328B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462018224P 2014-06-27 2014-06-27
US62/018,224 2014-06-27
US14/471,884 2014-08-28
US14/471,884 US10196741B2 (en) 2014-06-27 2014-08-28 Wafer placement and gap control optimization through in situ feedback
CN201510355699.8A CN105225985B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化
CN201910521735.1A CN110265328B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510355699.8A Division CN105225985B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化

Publications (2)

Publication Number Publication Date
CN110265328A true CN110265328A (zh) 2019-09-20
CN110265328B CN110265328B (zh) 2023-09-01

Family

ID=54929888

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510355699.8A Active CN105225985B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化
CN201910521735.1A Active CN110265328B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510355699.8A Active CN105225985B (zh) 2014-06-27 2015-06-24 通过原位反馈的晶片放置和间隙控制最佳化

Country Status (4)

Country Link
US (1) US10196741B2 (zh)
KR (2) KR102410568B1 (zh)
CN (2) CN105225985B (zh)
TW (1) TWI658534B (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10847393B2 (en) * 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109671637B (zh) * 2018-11-08 2021-05-07 北京北方华创微电子装备有限公司 一种晶圆检测装置及方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
GB201902032D0 (en) * 2019-02-14 2019-04-03 Pilkington Group Ltd Apparatus and process for determining the distance between a glass substrate and a coater
US11133205B2 (en) * 2019-05-24 2021-09-28 Applied Materials, Inc. Wafer out of pocket detection
WO2021022628A1 (zh) * 2019-08-02 2021-02-11 深圳市越疆科技有限公司 机械设备的壳体组件和机器人
JP7330027B2 (ja) * 2019-09-13 2023-08-21 株式会社Screenホールディングス 基板処理装置、および、基板処理方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
EP3905311A1 (de) * 2020-04-27 2021-11-03 Siltronic AG Verfahren und vorrichtung zum abscheiden einer epitaktischen schicht auf einer substratscheibe aus halbleitermaterial
DE102020119873A1 (de) 2020-07-28 2022-02-03 Aixtron Se Verfahren zum Erkennen fehlerhafter oder fehlerhaft in einem CVD-Reaktor eingesetzte Substrate
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
JP7452458B2 (ja) * 2021-02-16 2024-03-19 株式会社デンソー 半導体装置の製造装置
CN113117988B (zh) * 2021-03-08 2022-06-07 同济大学 一种用于座便器杯体与座圈粘接面的点胶路径控制方法
JP2022139625A (ja) * 2021-03-12 2022-09-26 東京エレクトロン株式会社 真空処理装置および傾き調整方法
KR102571198B1 (ko) * 2023-06-16 2023-08-29 디에스이테크 주식회사 동작상태 기반의 진공앵글밸브 진단 장치 및 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653591A (zh) * 2002-05-07 2005-08-10 马特森技术公司 在包含基座的处理室中加热半导体基板的工艺和***
CN1977361A (zh) * 2005-04-19 2007-06-06 株式会社荏原制作所 基底处理设备
CA2656773A1 (en) * 2008-11-11 2010-05-11 Jonas & Redmann Automationstechnik Gmbh Method for positioning and/or guiding at least one arbitrary process head for the metallization of thin substrates at a defined distance above the substrate surface

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
JPH0697676B2 (ja) 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
TWI307526B (en) * 2002-08-06 2009-03-11 Nikon Corp Supporting device and the mamufacturing method thereof, stage device and exposure device
KR20040022278A (ko) * 2002-09-03 2004-03-12 삼성전자주식회사 반도체를 제조하기 위한 장치
US6950774B2 (en) * 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
US6823753B1 (en) 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US20080246493A1 (en) * 2007-04-05 2008-10-09 Gardner Delrae H Semiconductor Processing System With Integrated Showerhead Distance Measuring Device
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
JP5156446B2 (ja) 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
WO2011151996A1 (ja) * 2010-06-01 2011-12-08 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US9870937B2 (en) 2010-06-09 2018-01-16 Ob Realty, Llc High productivity deposition reactor comprising a gas flow chamber having a tapered gas flow space
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653591A (zh) * 2002-05-07 2005-08-10 马特森技术公司 在包含基座的处理室中加热半导体基板的工艺和***
CN1977361A (zh) * 2005-04-19 2007-06-06 株式会社荏原制作所 基底处理设备
CA2656773A1 (en) * 2008-11-11 2010-05-11 Jonas & Redmann Automationstechnik Gmbh Method for positioning and/or guiding at least one arbitrary process head for the metallization of thin substrates at a defined distance above the substrate surface

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
周淼磊,田彦涛,杨志刚,程光明: "一种新型双喷嘴挡板阀控制***设计", 中国机械工程 *

Also Published As

Publication number Publication date
US10196741B2 (en) 2019-02-05
CN105225985B (zh) 2019-07-05
KR20160001683A (ko) 2016-01-06
TW201606920A (zh) 2016-02-16
TWI658534B (zh) 2019-05-01
CN110265328B (zh) 2023-09-01
KR102410568B1 (ko) 2022-06-16
KR102567811B1 (ko) 2023-08-16
KR20220088394A (ko) 2022-06-27
US20150376782A1 (en) 2015-12-31
CN105225985A (zh) 2016-01-06

Similar Documents

Publication Publication Date Title
CN105225985B (zh) 通过原位反馈的晶片放置和间隙控制最佳化
US11430680B2 (en) Position and temperature monitoring of ALD platen susceptor
US9117866B2 (en) Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8225683B2 (en) Wafer bow metrology arrangements and methods thereof
CN112470262B (zh) 用于测量工艺配件中心的方法和设备
US10197385B2 (en) Intelligent hardstop for gap detection and control mechanism
KR20170015208A (ko) Ccd 카메라 및 로봇을 사용한 웨이퍼 정렬 및 센터링을 위한 시스템 및 방법
US11264291B2 (en) Sensor device and etching apparatus having the same
US10522380B2 (en) Method and apparatus for determining substrate placement in a process chamber
CN111029291A (zh) 一种晶圆定位装置及具有其的减薄机
TWI776619B (zh) 用於針對移動的工序套件測量侵蝕及校準位置的方法及裝置
CN201182036Y (zh) 气体注入喷嘴
TWM545899U (zh) Mocvd溫度探測器及其安裝機構
US7651873B1 (en) Method relating to the accurate positioning of a semiconductor wafer
US20070039827A1 (en) Measuring alignment between a wafer chuck and polishing/plating receptacle
TW202314778A (zh) 用於決定環在處理套件內的位置的方法和裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant