CN105431506A - 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂 - Google Patents

用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂 Download PDF

Info

Publication number
CN105431506A
CN105431506A CN201480043488.4A CN201480043488A CN105431506A CN 105431506 A CN105431506 A CN 105431506A CN 201480043488 A CN201480043488 A CN 201480043488A CN 105431506 A CN105431506 A CN 105431506A
Authority
CN
China
Prior art keywords
acid
ammonium
composition
ether
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480043488.4A
Other languages
English (en)
Inventor
陈丽敏
斯蒂芬·里皮
达妮埃拉·怀特
埃马纽尔·I·库珀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to CN202011374468.9A priority Critical patent/CN112442374A/zh
Publication of CN105431506A publication Critical patent/CN105431506A/zh
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明提供可用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件相对于金属导电材料例如钨和绝缘材料而言选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物。所述去除组合物具有低pH,并含有至少一种氧化剂和至少一种蚀刻剂以及用于使金属腐蚀最小化的腐蚀抑制剂和用于保护电介质材料的钝化剂。

Description

用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
技术领域
本发明涉及在金属导体和绝缘体材料(即,低k电介质)存在下选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法,并且更具体地涉及以比铜、钨和低k电介质材料的暴露层或下伏层更高的蚀刻速率和选择性有效且高效地蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
背景技术
光致抗蚀剂掩模通常用于半导体工业中以对材料如半导体或电介质进行图案化。在一种应用中,光致抗蚀剂掩模被用于双镶嵌工艺中以在微电子器件的后端金属化中形成互连。所述双镶嵌工艺包括在覆盖金属导体层如铜层的低k电介质层上形成光致抗蚀剂掩模。然后根据所述光致抗蚀剂掩模蚀刻所述低k电介质层以形成暴露所述金属导体层的通孔和/或沟槽。所述通孔和沟槽通常被称为双镶嵌结构,其通常是使用两个光刻步骤来限定的。然后从低k电介质层去除光致抗蚀剂掩模,之后将导电材料沉积在通孔和/或沟槽中以形成互连。
随着微电子器件尺寸降低,实现通孔和沟槽的临界尺寸(criticaldimension)变得更困难。因此,使用金属硬掩模来提供通孔和沟槽的更好轮廓控制。所述金属硬掩模可由钛或氮化钛制成,并且在形成双镶嵌结构的通孔和/或沟槽后通过湿式蚀刻工艺去除。至关重要的是所述湿式蚀刻工艺使用有效去除金属硬掩模和/或光致抗蚀剂蚀刻残余物而不影响下伏的金属导体层和低k电介质材料的去除化学。换句话说,去除化学需要对金属导体层和低k电介质层具高度选择性。
因此,本发明的一个目的在于提供相对于所存在的金属导体层和低k电介质层而言选择性去除硬掩模材料而不损害硬掩模的蚀刻速率的改进组合物。
发明内容
本发明涉及用于相对于所存在的金属导体层和低k电介质层而言选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更具体地,本发明涉及用于选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物并在同时与铜、钨和低k电介质层相容的组合物和方法。
在一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。
在另一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种含碘氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂、至少一种碘清除剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。
在另一个方面,描述了从上面具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括使所述表面与包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂和任选地至少一种络合剂的组合物接触,其中所述组合物基本上不含过氧化氢,并且其中所述组合物从所述表面相对于金属和绝缘材料而言选择性去除氮化钛材料。
在另一个方面,描述了从上面具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括使所述表面与包含至少一种含碘氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种碘清除剂、至少一种溶剂和任选地至少一种络合剂的组合物接触,其中所述组合物基本上不含过氧化氢,并且其中所述组合物从所述表面相对于金属和绝缘材料而言选择性去除氮化钛材料。
根据随后的公开内容和权利要求书,本发明的其它方面、特征和实施方式将更完全地显而易见。
具体实施方式
一般来说,本发明涉及用于相对于所存在的金属导体层和低k电介质层而言选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更具体地,本发明涉及用于相对于铜、钨和低k电介质层而言选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。微电子器件上可能存在的其它材料应该基本上不被所述组合物去除或腐蚀。
为便于参考,“微电子器件”对应于半导体衬底、平板显示器、相变存储器件、太阳能面板和其它产品,包括太阳能电池器件、光伏器件和微机电***(MEMS),其被制造用于微电子、集成电路、能量收集或计算机芯片应用中。应理解,术语“微电子器件”、“微电子衬底”和“微电子器件结构”并不意在以任何方式进行限制,并且包括最终将变成微电子器件或微电子组件的任何衬底或结构。所述微电子器件可以被图案化、毯覆,可以是控制件和/或测试器件。
本文中使用的“硬掩模封盖层”对应于在等离子体蚀刻步骤期间沉积在电介质材料上以保护所述电介质材料的材料。硬掩模封盖层在传统上是氮化硅、氧氮化硅、氮化钛、氧氮化钛、钛和其它类似化合物。
在本文中使用时,“氮化钛”和“TiNx”对应于纯氮化钛以及包括不同的化学计量比和氧含量的不纯的氮化钛(TiOxNy)。
在本文中使用时,“约”旨在对应于所陈述的值的±5%。
如本文所定义,“低k电介质材料”对应于在层状微电子器件中用作电介质材料的任何材料,其中所述材料具有小于约3.5的介电常数。优选地,所述低k电介质材料包括低极性材料如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和碳掺杂氧化物(CDO)玻璃。应理解,所述低k电介质材料可具有不同的密度和不同的孔隙率。
如本文所定义,“金属导体层”包含铜、钨、钴、钼、铝、钌、包含上述金属的合金以及其组合。
如本文所定义,“胺”物质包括至少一种伯、仲和叔胺,其条件是(i)包括羧酸基团和胺基团两者的物质(例如氨基酸、氨基多羧酸等)、(ii)包括胺基团的表面活性剂(例如,醚胺如(AirProducts)和胺氧化物表面活性剂),(iii)其中胺基团是取代基(例如,连接至芳基或杂环部分)的物质,(iv)胺-N-氧化物,以及(v)吡啶和吡啶衍生物根据这个定义不被视为“胺”。所述胺的分子式由NR1R2R3表示,其中R1、R2和R3可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直链或支链的C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)和其组合,其条件是R1、R2和R3不能都是氢。
如本文所定义,“光致抗蚀剂蚀刻残余物”对应于包含光致抗蚀剂材料或作为在蚀刻或灰化步骤后的光致抗蚀剂副产物的材料的任何残余物,正如本领域技术人员所容易理解的。
“基本上不含”在本文中被定义为小于2重量%,优选小于1重量%、更优选小于0.5重量%,甚至更优选小于0.1重量%并且最优选0重量%。
在本文中使用时,“氟化物”物质对应于包括氟离子(F-)或共价键合的氟的物质。应理解,氟化物物质可以以氟化物物质形式而被包括或在原位产生。
本发明的组合物可以以如下文更充分描述的多种特定制剂来实施。
在所有这些组合物(其中根据包括零下限的重量百分比范围讨论了所述组合物的特定组分)中,应理解,这些组分在所述组合物的各种特定实施方式中可能存在或不存在,并且在存在这些组分的情况下,以其中使用这些组分的组合物的总重量计,它们可以以低至0.001重量%的浓度存在。
本发明的实施方式包括用于去除硬掩模和/或光致抗蚀剂蚀刻残余物的化学。在一个实施方式中,去除组合物是去除电介质层上的金属硬掩模和/或光致抗蚀剂蚀刻残余物并且相对于所述电介质层下面的金属导体层和所述电介质层本身而言具有高度选择性的湿式蚀刻溶液。在一个更具体实施方式中,所述去除组合物是相对于铜、钨和低k电介质材料中的至少一种而言高度选择性去除氮化钛层和/或光致抗蚀剂蚀刻残余物的湿式蚀刻溶液。
在一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,所述第一方面的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种含碘氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂、至少一种碘清除剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。优选地,以组合物的总重量计,所述组合物包含至少95重量%的水、更优选至少97重量%的水、最优选至少98重量%的水。有利的是,所述组合物具有高于50:1的TiN:钨选择性和小于min-1的钨去除速率。所述组合物基本上不含如本文所定义的胺、研磨材料、金属卤化物和其组合。所述组合物具有在0至4、优选在1至3范围内的pH值。
添加蚀刻剂是为了提高氮化钛的蚀刻速率。设想的蚀刻剂包括但不限于HF、氟化铵、四氟硼酸、六氟硅酸、含有B-F或Si-F键的其它化合物、四氟硼酸四丁基铵(TBA-BF4)、氟化四烷基铵(NR1R2R3R4F)、强碱例如氢氧化四烷基铵(NR1R2R3R4OH)(其中R1、R2、R3、R4可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基基团(例如,甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基基团(例如,羟基乙基、羟基丙基)、被取代或未被取代的芳基基团(例如,苄基))、弱碱和其组合。优选地,氟化物源包括HF、四氟硼酸、六氟硅酸、H2ZrF6、H2TiF6、HPF6、氟化铵、氟化四甲基铵、氢氧化四甲基铵、六氟硅酸铵、六氟钛酸铵,或氟化铵与氟化四甲基铵的组合。最优选地,所述蚀刻剂包含HF、六氟硅酸或四氟硼酸。
所述第一方面的组合物包含至少一种低k钝化剂以减少低k电介质层的化学攻击并保护晶片免于另外的氧化。优选的低k钝化剂包括但不限于硼酸、硼酸盐(例如,五硼酸铵、四硼酸钠、二硼酸铵)、烷氧基硅烷(例如,甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、六氟硅酸铵、硅酸钠、硅酸四甲基铵(TMAS))、3-羟基-2-萘甲酸、丙二酸和亚氨基二乙酸。优选地,使用本文描述的组合物,以下伏的低k材料的总重量计,少于2重量%、更优选少于1重量%、最优选少于0.5重量%的下伏的低k材料被蚀刻/去除。专业技术人员应理解,氢氟酸与硼酸(或硼酸盐)的组合将导致四氟硼酸的形成。专业技术人员还应理解,氢氟酸与烷氧基硅烷的组合将导致六氟硅酸的形成。
包括氧化剂是为了氧化TiNx中的Ti3+。本文设想的氧化剂包括但不限于过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾复合盐(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、多原子酸铵盐(例如,过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、多原子酸钠盐(例如,过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠)、多原子酸钾盐(例如,碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、多原子酸四甲基铵盐(例如,亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8))、多原子酸四丁基铵盐(例如,过氧单硫酸四丁基铵)、过氧单硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲和其组合。当所述氧化剂是盐时,它可以是水合的或无水的。可在制造商处、在将组合物引入器件晶片之前,或可选地在器件晶片处(即在原位)将所述氧化剂引入所述组合物。优选地,用于所述第二方面的组合物的氧化剂包含过氧化氢。优选地,用于所述第一方面的组合物的氧化剂包含胺-N-氧化物、氧化钒、碘酸铵、高碘酸铵、碘酸或高碘酸。
添加金属腐蚀抑制剂是为了阻断所述氧化剂和羧酸盐(当存在时)的氧化活性。本文设想的金属腐蚀抑制剂包括但不限于5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、1,2,4-***(TAZ)、甲苯基***、5-甲基-苯并***(MBTA)、5-苯基-苯并***、5-硝基-苯并***、苯并***羧酸、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯基硫醇-苯并***、卤代-苯并***(卤代=F、Cl、Br或I)、萘并***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO(TaiwanSurfactant)、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、苯并噻唑、咪唑、吲唑、腺苷、咔唑、糖精、苯偶姻肟、胺-N-氧化物(例如,N-甲基吗啉N-氧化物(NMMO)、三甲基胺N-氧化物、三乙基胺-N-氧化物、吡啶-N-氧化物、N-乙基吗啉-N-氧化物、N-甲基吡咯烷-N-氧化物和N-乙基吡咯烷-N-氧化物)和其组合。另外的腐蚀抑制剂包括阳离子型季铵盐例如苯扎氯铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶Aliquat336(Cognis)、氯化苄基二甲基苯基铵、CrodaquatTES(CrodaInc.)、RewoquatCPEM(Witco)、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1’-十四烷基-4,4’-联吡啶溴化烷基三甲基铵、盐酸氨丙啉、氢氧化苄乙氧铵、苄索氯铵、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、西吡氯铵、胆碱对甲苯磺酸盐、溴化二甲基二-十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵(DTAC)、溴化乙基十六烷基二甲基铵、溴化十二烷基(2-羟基乙基)二甲基铵、氯化十六烷基(2-羟基乙基)二甲基铵、对甲苯磺酸十六烷基三甲基铵、氯化十二烷基吡啶(十二烷基吡啶)、甲磺酸十二烷基三甲基铵、对甲苯磺酸十二烷基三甲基铵、氯化[9-(2-羧基苯基)-6-二乙基氨基-3-亚呫吨基]-二乙基铵(罗丹明B)、吉拉德试剂、磷酸二氢十六烷基(2-羟基乙基)二甲基铵、溴化十六烷基吡啶溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵、氯化三-十二烷基铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑四氟硼酸1-癸基-3-甲基咪唑氯化1-癸基-3-甲基咪唑溴化三-十二烷基甲基铵、氯化二甲基二硬脂基铵和氯化六甲双铵。其它腐蚀抑制剂包括非离子型表面活性剂如PolyFoxPF-159(OMNOVASolutions)、聚(乙二醇)(“PEG”)、聚(丙二醇)(“PPG”)、PEG-PPG共聚物如PluronicF-127(BASF),阴离子型表面活性剂如十二烷基苯磺酸、十二烷基硫酸钠、十二烷基苯磺酸钠和其组合。季铵盐可以充当腐蚀抑制剂(特别是对于铜和钨)和润湿剂两者。优选的钨腐蚀抑制剂包括醚胺,包括但不限于醚季胺(例如,Q-系列如氯化异癸氧基丙基双-(2-羟基乙基)甲基铵、氯化异十三烷氧基丙基双-(2-羟基乙基)甲基铵、氯化单大豆甲基铵、牛脂二胺双季铵盐、氯化椰油基聚(15)氧乙烯甲基铵)、醚胺氧化物(例如,AO系列如双-(2-羟基乙基)异癸氧基丙基胺氧化物)、直链烷氧基丙基胺氧化物、低泡烷氧基丙基胺氧化物(AO-405和AO-455)和其组合。其它胺氧化物表面活性剂也将是有用的钨腐蚀抑制剂,包括但不限于十二烷基二甲基胺氧化物、双-(2-羟基乙基)-椰油烷基胺氧化物(C/12W、AzkoNobel)、二甲基椰油烷基胺氧化物(DMC)、4-(苄氧基)吡啶N-氧化物、4-(3-苯基丙基)吡啶N-氧化物和其组合。其它有用的钨腐蚀抑制剂包括杂环化合物例如吡啶、喹啉、喹唑啉、异喹啉、吡嗪、嘧啶、哒嗪、喹喔啉、吩嗪、菲啶、2,2’-吡啶、1,4’-吡啶、4,4’-吡啶和吖啶,以及包括至少一个下列基团的所述杂环化合物的衍生物:C1-6烷基、苯基、苄基、苯乙基、3-苯基丙基、苄氧基、羧基、氯、溴、甲氧基、硝基和氰基,包括但不限于2-苄基吡啶和4-(4-硝基苄基)吡啶。对于本领域技术人员来说显而易见的是,虽然季铵盐最通常以氯化物或溴化物形式市售,但使卤化物阴离子与非卤化物阴离子如硫酸盐、甲磺酸盐、硝酸盐、氢氧化物等进行离子交换是容易的。本文中也设想了这些转化的季铵盐,并且它们是优选的。优选的腐蚀抑制剂包括阳离子型季铵盐,更优选为溴化十四烷基三甲基铵、苯扎氯铵、对甲苯磺酸十六烷基三甲基铵、DTAC和氢氧化十六烷基三甲基铵,其中在使用前已将氯化物进行了离子交换。可选地,优选的腐蚀抑制剂包括5-甲基-苯并***,以及四唑例如5-苄基-1H-四唑。在另一个可选实施方式中,优选的腐蚀抑制剂包括胺-N-氧化物、胺氧化物表面活性剂和/或醚胺,其中已将氯化物进行了离子交换。
所述至少一种溶剂可以包含水、至少一种与水混溶的有机溶剂或其组合,其中所述至少一种与水混溶的有机溶剂选自式R1R2R3C(OH)的化合物,其中R1、R2和R3是彼此独立的并选自氢、C2-C30烷基、C2-C30烯烃、环烷基、C2-C30烷氧基和其组合。例如,所述至少一种溶剂可以包含选自以下的至少一种物质:水、甲醇、乙醇、异丙醇、丁醇和更高级的醇、四氢糠醇(THFA)、3-氯-1,2-丙二醇、3-氯-1-丙烷硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氢呋喃(THF)、N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲基亚砜(DMSO)、四亚甲基砜(环丁砜)、二乙基醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二烷、丁内酯、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚(即丁基卡必醇)、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇***、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、乙酸二丙二醇甲醚、四乙二醇二甲醚(TEGDE)、二元酸酯、碳酸甘油酯、N-甲酰基吗啉、磷酸三乙基酯和其组合。优选地,所述至少一种溶剂包含水,更优选地去离子水。
当存在络合剂时,添加络合剂是为了减少粒子产生和生长并提高组合物的储存期限。设想的螯合剂包括但不限于β-二酮(β-diketonate)化合物例如2,4-戊二酮、乙酰丙酮化物(acetylacetonate)、1,1,1-三氟-2,4-戊二酮和1,1,1,5,5,5-六氟-2,4-戊二酮;氨基酸例如甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、组氨酸、谷氨酸、精氨酸、半胱氨酸、缬氨酸和赖氨酸;选自以下的多元酸和氨基多羧酸:亚氨基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、乙二胺四乙酸(EDTA)、EDTA-2NH3(乙二胺四乙酸二铵盐)、(1,2-亚环己基二次氮基)四乙酸(CDTA)、二亚乙基三胺五乙酸(DTPA)、2-膦酰基丁烷-1,2,4-三羧酸(PBTCA)、乙二胺二琥珀酸和丙二胺四乙酸;膦酸;膦酸衍生物例如羟基亚乙基二膦酸(HEDP)(Dequest2010)、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)(NTMP)、氨基三(亚甲基膦酸)(Dequest2000)、二亚乙基三胺五(亚甲基膦酸)(Dequest2060S)、乙二胺四(亚甲基膦酸)(EDTMPA);和其组合。可替选地或除此之外,所述至少一种络合剂可以包括阴离子型表面活性剂,包括但不限于烷基硫酸钠例如乙基己基硫酸钠(08)、烷基硫酸铵、烷基(C10-C18)羧酸铵盐、磺基琥珀酸钠和其酯例如二辛基磺基琥珀酸钠、烷基(C6-C18)磺酸钠盐,以及二阴离子磺酸盐表面活性剂。优选的阴离子型表面活性剂包括二苯基氧化物二磺酸盐,例如可以从DowChemical获得的DOWFAX系列的阴离子型表面活性剂,包括DOWFAX2A1(四丙基二苯基氧化物二磺酸钠)、DOWFAX3A2、DOWFAX8390和DowFaxTMC6L(烷基二苯基氧化物二磺酸盐),以及可以从Rhone-Poulenc获得的RHODACALDSB、可以从Olin获得的POLY-TERGENT2A1、POLY-TERGENT2EP、可以从Cytec获得的AEROSOLDPOS-45、可以从PilotChemicals获得的CALFAXDBA-40、CALFAX16L-35等。二苯基氧化物二磺酸盐代表了一类高阴离子型表面活性剂,其由二磺酸化的烷基二苯基氧化物分子构成,其中电荷由两个磺酸基团产生,并提供出色的乳液稳定性。可替选地或除此之外,所述至少一种络合剂可以包括阻垢剂聚合物,包括但不限于多氨基酰胺(PAMAM)树枝状聚合物、聚(2-乙基-2-唑啉)、聚乙烯亚胺(PEI)、羟基化的聚乙烯亚胺、改性的聚乙烯亚胺、聚烯丙基胺盐酸盐(PALAM)、聚(丙烯酰胺)、聚(丙烯酸)、聚(氯化二烯丙基二甲基铵)、氯化二烯丙基二甲基铵、丙烯酰胺、乙酰胍胺、聚(丙烯酰胺-共-氯化二烯丙基-二甲基铵)(PAMALAM)和其组合。可替选地或除此之外,络合剂包括包含铵阳离子或四烷基铵阳离子([NR1R2R3R4]+,其中R1、R2、R3和R4可以彼此相同或不同并选自氢和C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基))和选自以下的阴离子的盐:乙酸根、氯离子、溴离子、碘离子、硫酸根、苯甲酸根、丙酸根、柠檬酸根、甲酸根、草酸根、酒石酸根、琥珀酸根、乳酸根、马来酸根、丙二酸根、延胡索酸根、苹果酸根、抗坏血酸根、扁桃酸根和邻苯二甲酸根。例如,所述盐可以包括溴化铵和/或氯化铵。最优选地,所述络合剂包含以下至少一者:烷基二苯基氧化物二磺酸盐、2,4-戊二酮、丝氨酸和其任何组合。
当所述氧化剂包含碘酸盐或高碘酸盐时,碘清除剂可任选地被添加至半水性组合物。虽然不希望受理论束缚,但据认为,随着碘酸盐或高碘酸盐被还原,碘积聚,这增加了铜蚀刻速率。碘清除剂包括但不限于酮,更优选地为在羰基的α位上具有氢的酮,例如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-己二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己基酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮和其组合。优选地,碘清除剂包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮或环己酮。
在另一个实施方式中,本发明的任何组合物可进一步包含氮化钛和/或光致抗蚀剂蚀刻材料残余物,其中所述残余物被悬浮和/或溶解在所述水性组合物中。
在一个实施方式中,第一方面的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢,所述物质以所述组合物的总重量计以下列范围存在:
在另一个实施方式中,第一方面的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种含碘氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂、至少一种碘清除剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢,所述物质以所述组合物的总重量计以下列范围存在:
优选地,第一方面的去除组合物的一个实施方式包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种高碘酸盐氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂和至少一种钝化剂,其中所述组合物基本上不含过氧化氢。更优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种高碘酸盐氧化剂、六氟硅酸、水、至少一种腐蚀抑制剂和至少一种钝化剂,其中所述组合物基本上不含过氧化氢。甚至更优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:高碘酸、六氟硅酸、水、TMAS和至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。最优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:高碘酸、六氟硅酸、水、TMAS和选自苯扎氯铵、胺-N-氧化物、胺氧化物表面活性剂和/或醚胺的至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。
优选地,第一方面的去除组合物的另一个实施方式包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种胺氧化物腐蚀抑制剂和至少一种钝化剂,其中所述组合物基本上不含过氧化氢。更优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、四氟硼酸、水、至少一种钝化剂和选自胺-N-氧化物、胺氧化物表面活性剂和/或醚胺的至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。甚至更优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、四氟硼酸、水、硼酸或硼酸盐,以及选自苯扎氯铵、胺-N-氧化物、胺氧化物表面活性剂和/或醚胺的至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。
在另一个实施方式中,第一方面的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种碘酸盐氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂、至少一种碘清除剂和至少一种钝化剂,其中所述组合物基本上不含过氧化氢。更优选地,这个实施方式的去除组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种碘酸盐氧化剂、六氟硅酸、水、至少一种腐蚀抑制剂、至少一种碘清除剂和至少一种钝化剂,其中所述组合物基本上不含过氧化氢。
应理解,通常的做法是制造待在使用前稀释的浓缩形式的组合物。例如,所述组合物可以被制造成更浓缩的形式并且其后在制造商处、在使用前和/或在制造厂使用期间用至少一种溶剂稀释。稀释比率可在约0.1份稀释剂:1份组合物浓缩物至约100份稀释剂:1份组合物浓缩物范围内。还应理解,本文所述的组合物包括可能随时间不稳定的氧化剂。因此,浓缩形式可以基本上不含氧化剂并且所述氧化剂可由制造商在使用前和/或在制造厂使用期间引入到浓缩物或稀释的组合物。
本文所述的组合物容易通过简单添加各个成分并混合至均质条件来配制。此外,所述组合物可容易地配制成单包装制剂或在使用地点处或之前混合的多部分制剂,优选地为多部分制剂。所述多部分制剂的单独的部分可在工具处或在混合区/区域如在线混合器中或在工具上游的储罐中混合。设想了多部分制剂的各个部分可含有当混合在一起时形成所需组合物的成分/组分的任何组合。各个成分的浓度可在组合物的特定倍数内广泛改变,即,更稀释或更浓缩,并且应理解,组合物可不同地和可选地包含以下物质,由以下物质组成或基本上由以下物质组成:与本文公开内容一致的成分的任何组合。
因此,第二方面涉及一种试剂盒,所述试剂盒在一个或多个容器中包括一种或多种适于形成本文所述的组合物的组分。所述试剂盒的容器必须适合储存和运输所述去除组合物组分,例如,容器(AdvancedTechnologyMaterials,Inc.,Danbury,Conn.,USA)。含有所述组合物的组分的一个或多个容器优选包括用于使所述一个或多个容器中的组分流体连通以共混和分配的机构。例如,对于容器来说,可将气体压力施加至所述一个或多个容器中的衬里外部以造成所述衬里的内含物的至少一部分被排出并且因此能够实现流体连通以用于共混和分配。可选地,可将气体压力施加至常规可加压容器的顶空或者可使用泵来实现流体连通。另外,所述***优选包括分配端口以将共混的组合物分配至处理工具。
优选使用基本上化学惰性、不含杂质、柔性和弹性的聚合物膜材料如高密度聚乙烯来制造用于所述一个或多个容器的衬里。处理所需的衬里材料而无需共挤出或阻挡层,并且无需可能不利地影响有待放置在衬里中的组分的纯度要求的任何颜料、UV抑制剂或加工剂。所需衬里材料的列表包括包含未处理(不含添加剂)的聚乙烯、未处理的聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等的膜。这些衬里材料的优选厚度在约5密耳(0.005英寸)至约30密耳(0.030英寸)范围内,例如20密耳(0.020英寸)的厚度。
关于用于试剂盒的容器,以下专利和专利申请的公开内容以全文引用的方式并入本文中:名称为“用于在超纯液体中使粒子的产生最小化的装置和方法(APPARATUSANDMETHODFORMINIMIZINGTHEGENERATIONOFPARTICLESINULTRAPURELIQUIDS)”的美国专利No.7,188,644;名称为“可回收和可重复使用的泡包袋型流体存储和分配容器***(RETURNABLEANDREUSABLE,BAG-IN-DRUMFLUIDSTORAGEANDDISPENSINGCONTAINERSYSTEM)”的美国专利No.6,698,619;和2008年5月9日提交的名称为“用于材料的共混和分布的***和方法(SYSTEMSANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION)”的PCT/US08/63276。
在第三方面,本发明涉及使用本文所述的组合物从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法。例如,可去除氮化钛材料而不显著破坏/去除所述微电子器件上存在的金属导体和绝缘体材料。因此,在一个优选实施方式中,描述了使用本文所述的组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体和绝缘体材料而言选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。在另一个优选实施方式中,描述了使用本文所述的组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体(例如,铜)、钨和绝缘体材料而言选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。
在蚀刻应用中,以任何合适方式向上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面施加组合物,例如,通过将组合物喷涂在器件表面上,通过浸渍(在静态或动态体积的组合物中)包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件,通过使所述器件与另一种材料例如上面吸收有组合物的垫或纤维状吸收剂施用器元件接触,通过使包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件与循环的组合物接触,或通过使组合物与氮化钛和/或光致抗蚀剂蚀刻残余物材料进行去除接触的任何其它合适手段、方式或技术。可在分批或单一晶片装置中进行施加,用于动态或静态清洁。有利的是,本文所述的组合物凭借其相对于可能存在于微电子器件结构上并暴露于所述组合物的其它材料如金属和绝缘材料(即,低k电介质)而言对氮化钛和/或光致抗蚀剂蚀刻残余物材料的选择性,以高效和高选择性方式实现氮化钛和/或光致抗蚀剂蚀刻残余物材料的至少部分去除。
在本文所述的组合物用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件结构去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的应用中,所述组合物通常在单晶片工具中与器件结构在约20℃至约100℃、优选约30℃至约60℃的范围内的温度下接触约0.3分钟至约30分钟、优选约0.5分钟至约3分钟的充分时间。这些接触时间和温度是说明性的,并且可使用可有效从器件结构至少部分去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的任何其它合适的时间和温度条件。
在一个实施方式中,所述组合物在递送至器件结构期间在线加热。通过在线而非在浴本身中加热,所述组合物寿命增加。
在完成所需蚀刻/去除动作后,所述组合物可容易地从先前已进行施加的微电子器件去除,例如,通过如在本文所述组合物的给定最终用途应用中可能需要和有效的冲洗、洗涤或其它去除步骤。例如,所述器件可用包括去离子水的冲洗溶液冲洗和/或干燥(例如,旋干、N2、蒸汽干燥等)。
本发明的第四方面涉及根据本文所述的方法制造的改进的微电子器件,并且涉及含有这些微电子器件的产品。
第五方面涉及制造包含微电子器件的物品的方法,所述方法包括使所述微电子器件与组合物接触足够时间以从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面蚀刻性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料,以及将所述微电子器件并入所述物品中,其中所述组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种低k钝化剂、至少一种溶剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。当所述至少一种氧化剂包含含碘氧化剂时,所述组合物还可包含至少一种碘清除剂。
本发明的第六方面涉及一种制造物品,其包含以下物质,由以下物质组成或基本上由以下物质组成:微电子器件衬底、所述衬底上的氮化钛层和本文所述的组合物。
本发明的特征和优点通过下文讨论的说明性实施例更充分地示出。
实施例1
制备包含以下组分的制剂:0.01重量%高碘酸、1.80重量%H2SiF6、0.004重量%苯扎氯铵、0.24重量%TMAS、注明的络合剂和量,其余为水(至100重量%),并在50℃下测定W、TiN和TEOS的蚀刻速率。
表1:制剂和蚀刻速率。
可以看到,许多包括络合剂的组合物产生与制剂M和N(即,无络合剂)等同的TiN蚀刻速率,并具有相称的W蚀刻速率和/或更低的TEOS蚀刻速率(制剂A-L)。
对许多制剂进行了粒子测量,由此确定了在接近一周的过程中0.1μm、0.3μm和0.5μm粒子的液体粒子计数。确定了制剂A随时间产生最高稳定性,尽管制剂E也足够稳定。
实施例2
制备包含以下组分的制剂:0.01重量%碘酸铵、0.80重量%H2SiF6、0.005重量%溴化十四烷基三甲基铵、0.5重量%MBTA、0.2重量%TEOS、0.1重量%4-甲基-2-戊酮、注明的络合剂和量,其余为水(至100重量%),并在50℃下测定Cu、W、TiN和TEOS的蚀刻速率。
表2:制剂和蚀刻速率。
可以看到,许多包括络合剂的组合物产生与制剂Y和Z(即,无络合剂)等同的TiN蚀刻速率,并具有更低的W蚀刻速率和/或更低的TEOS蚀刻速率。
实施例3
制备包含以下组分的制剂:0.01重量%高碘酸、1.80重量%H2SiF6、0.24重量%TMAS、注明的腐蚀抑制剂和量,其余为水(至100重量%),并在将W、TiN和PETEOS的样片在制剂中在50℃下浸泡20分钟后测定W、TiN和PETEOS的蚀刻速率。在配制之前对含有氯化物和溴化物的腐蚀抑制剂进行离子交换。
表3:制剂和蚀刻速率。
***
虽然已参考本发明的特定方面、特征和说明性实施方式对本发明进行了描述,但应理解本发明的效用不因此受限制,而是延伸至并涵盖如本发明领域的普通技术人员基于本文的公开内容所想到的众多其它变化、修改和替代实施方式。相应地,如权利要求书中所要求保护的发明旨在被广泛地理解和解释为将所有这些变化、修改和替代实施方式包括在其精神和范围内。

Claims (14)

1.一种用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种溶剂和任选地至少一种络合剂,其中所述组合物基本上不含过氧化氢。
2.权利要求1的组合物,其中所述蚀刻剂包括选自以下的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、四氟硼酸、六氟硅酸、四氟硼酸四丁基铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、氟化四烷基铵(NR1R2R3R4F)、氢氧化四烷基铵(NR1R2R3R4OH),其中R1、R2、R3、R4可以彼此相同或不同并选自直链或支链的C1-C6烷基基团、弱碱和其组合。
3.权利要求1的组合物,其中所述蚀刻剂包括HF、六氟硅酸或四氟硼酸。
4.前述权利要求任一项的组合物,其中所述氧化剂包括选自以下的物质:FeCl3(水合的和未水合的)、Fe(NO3)3、Sr(NO3)2、CoF3、FeF3、MnF3、过硫酸氢钾复合盐(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO)、钨酸铵((NH4)10H2(W2O7))、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO)、亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8)、过氧单硫酸四丁基铵、过氧单硫酸、硝酸铁(Fe(NO3)3)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲和其组合。
5.前述权利要求任一项的组合物,其中所述氧化剂包括选自以下的物质:氧化钒、碘酸铵、高碘酸铵、钒酸铵、高碘酸、碘酸、1,4-苯醌和其组合。
6.前述权利要求任一项的组合物,其中所述至少一种溶剂包括水。
7.权利要求6的组合物,其中所述组合物包含以所述组合物的总重量计至少约95重量%的水。
8.前述权利要求任一项的组合物,其中所述至少一种腐蚀抑制剂包括选自以下的物质:5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、1,2,4-***(TAZ)、甲苯基***、5-甲基-苯并***、5-苯基-苯并***、5-硝基-苯并***、苯并***羧酸、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯基硫醇-苯并***、卤代-苯并***、萘并***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、苯并噻唑、咪唑、吲唑、腺苷、咔唑、糖精、苯偶姻肟、PolyFoxPF-159、聚(乙二醇)、聚(丙二醇)、PEG-PPG共聚物、十二烷基苯磺酸、十二烷基苯磺酸钠、苯扎氯铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶Aliquat336、氯化苄基二甲基苯基铵、CrodaquatTES、RewoquatCPEM、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1’-十四烷基-4,4’-联吡啶溴化烷基三甲基铵、盐酸氨丙啉、氢氧化苄乙氧铵、苄索氯铵、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、西吡氯铵、胆碱对甲苯磺酸盐、溴化二甲基二-十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、溴化乙基十六烷基二甲基铵、溴化十二烷基(2-羟基乙基)二甲基铵、氯化十六烷基(2-羟基乙基)二甲基铵、对甲苯磺酸十六烷基三甲基铵、氯化十二烷基吡啶(十二烷基吡啶)、甲磺酸十二烷基三甲基铵、对甲苯磺酸十二烷基三甲基铵、氯化[9-(2-羧基苯基)-6-二乙基氨基-3-亚呫吨基]-二乙基铵(罗丹明B)、吉拉德试剂、磷酸二氢十六烷基(2-羟基乙基)二甲基铵、溴化十六烷基吡啶溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵、氯化三-十二烷基铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑四氟硼酸1-癸基-3-甲基咪唑氯化1-癸基-3-甲基咪唑溴化三-十二烷基甲基铵、氯化二甲基二硬脂基铵、氯化六甲双铵、氯化异癸氧基丙基双-(2-羟基乙基)甲基铵、氯化异十三烷氧基丙基双-(2-羟基乙基)甲基铵、氯化单大豆甲基铵、牛脂二胺双季铵盐、氯化椰油基聚(15)氧乙烯甲基铵、双-(2-羟基乙基)异癸氧基丙基胺氧化物、直链烷氧基丙基胺氧化物、低泡烷氧基丙基胺氧化物、十二烷基二甲基胺氧化物、双-(2-羟基乙基)-椰油烷基胺氧化物、二甲基椰油烷基胺氧化物、4-(苄氧基)吡啶N-氧化物、4-(3-苯基丙基)吡啶N-氧化物、N-甲基吗啉N-氧化物、三甲基胺N-氧化物、三乙基胺-N-氧化物、吡啶-N-氧化物、N-乙基吗啉-N-氧化物、N-甲基吡咯烷-N-氧化物、N-乙基吡咯烷-N-氧化物、吡啶及其衍生物、喹啉及其衍生物、喹唑啉及其衍生物、异喹啉及其衍生物、吡嗪及其衍生物、嘧啶及其衍生物、哒嗪及其衍生物、喹喔啉及其衍生物、吩嗪及其衍生物、菲啶及其衍生物、2,2’-吡啶及其衍生物、1,4’-吡啶及其衍生物、4,4’-吡啶及其衍生物、吖啶及其衍生物、和其组合。
9.前述权利要求任一项的组合物,其中所述至少一种溶剂包括选自以下的物质:甲醇、乙醇、异丙醇、丁醇和更高级的醇,四氢糠醇(THFA)、3-氯-1,2-丙二醇、3-氯-1-丙烷硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氢呋喃(THF)、N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲基亚砜(DMSO)、四亚甲基砜(环丁砜)、二乙基醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二烷、丁内酯、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚(即丁基卡必醇)、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇***、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、乙酸二丙二醇甲醚、四乙二醇二甲醚(TEGDE)、二元酸酯、碳酸甘油酯、N-甲酰基吗啉、磷酸三乙基酯和其组合。
10.前述权利要求任一项的组合物,其中所述至少一种钝化剂包括选自以下的物质:甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、六氟硅酸铵、硅酸钠、硅酸四甲基铵(TMAS)、硼酸、五硼酸铵、四硼酸钠、二硼酸铵、3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸和其组合。
11.前述权利要求任一项的组合物,其包含至少一种络合剂,其中所述至少一种络合剂包括选自以下的物质:2,4-戊二酮、乙酰丙酮化物、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、组氨酸、谷氨酸、精氨酸、半胱氨酸、缬氨酸、赖氨酸、亚氨基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、乙二胺四乙酸(EDTA)、EDTA-2NH3(乙二胺四乙酸二铵盐)、(1,2-亚环己基二次氮基)四乙酸(CDTA)、二亚乙基三胺五乙酸(DTPA)、2-膦酰基丁烷-1,2,4-三羧酸(PBTCA)、乙二胺二琥珀酸、丙二胺四乙酸、羟基亚乙基二膦酸(HEDP)、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)(NTMP)、氨基三(亚甲基膦酸)、二亚乙基三胺五(亚甲基膦酸)、乙二胺四(亚甲基膦酸)(EDTMPA)、烷基硫酸钠、烷基硫酸铵、烷基(C10-C18)羧酸铵盐、磺基琥珀酸钠及其酯、烷基(C6-C18)磺酸钠盐、二阴离子磺酸盐、阻垢剂聚合物和其组合。
12.前述权利要求任一项的组合物,其中所述组合物基本上不含胺、研磨材料、金属卤化物和其组合。
13.前述权利要求任一项的组合物,其中所述组合物的pH在0至4的范围内。
14.一种从上面具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括将所述表面与权利要求1-14任一项的组合物相接触,其中所述组合物从所述表面相对于金属和绝缘材料而言选择性去除所述氮化钛材料。
CN201480043488.4A 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂 Pending CN105431506A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011374468.9A CN112442374A (zh) 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361860385P 2013-07-31 2013-07-31
US61/860,385 2013-07-31
PCT/US2014/049153 WO2015017659A1 (en) 2013-07-31 2014-07-31 AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202011374468.9A Division CN112442374A (zh) 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂

Publications (1)

Publication Number Publication Date
CN105431506A true CN105431506A (zh) 2016-03-23

Family

ID=52432432

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480043488.4A Pending CN105431506A (zh) 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN202011374468.9A Pending CN112442374A (zh) 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202011374468.9A Pending CN112442374A (zh) 2013-07-31 2014-07-31 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂

Country Status (6)

Country Link
US (1) US10138117B2 (zh)
EP (1) EP3027709A4 (zh)
KR (1) KR102338526B1 (zh)
CN (2) CN105431506A (zh)
TW (1) TWI683889B (zh)
WO (1) WO2015017659A1 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108231573A (zh) * 2016-12-14 2018-06-29 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
CN108486575A (zh) * 2018-05-17 2018-09-04 洛阳理工学院 一种水溶性双咪唑啉衍生物缓蚀剂及其制备方法和用途
WO2019019533A1 (zh) * 2017-07-25 2019-01-31 上海新阳半导体材料股份有限公司 清洗剂及其制备方法和应用
CN109881204A (zh) * 2017-12-06 2019-06-14 深圳新宙邦科技股份有限公司 一种镁银合金清洗剂及清洗方法
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110885979A (zh) * 2019-12-13 2020-03-17 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
CN112080279A (zh) * 2019-06-12 2020-12-15 关东鑫林科技股份有限公司 蚀刻组合物
CN113583745A (zh) * 2021-07-09 2021-11-02 煤炭科学技术研究院有限公司 一种兼容型液压支架用浓缩液及其制备方法
CN114466852A (zh) * 2019-09-30 2022-05-10 弗萨姆材料美国有限责任公司 在半导体器件制造过程中选择性去除氮化硅的蚀刻组合物和方法
CN114634803A (zh) * 2022-04-13 2022-06-17 西南石油大学 一种适用于180~200℃的耐超高温酸化缓蚀剂及其制备方法
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115043594A (zh) * 2021-03-09 2022-09-13 易安爱富科技有限公司 显示器基板用蚀刻液及其制备方法
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
US10332784B2 (en) * 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
EP3285285B1 (en) * 2015-04-13 2022-03-02 Mitsubishi Gas Chemical Company, Inc. Cleaning method for removing carbon-containing silicon oxide for wafer recycling
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
EP3184669B1 (en) * 2015-12-23 2018-07-18 ATOTECH Deutschland GmbH Etching solution for copper and copper alloy surfaces
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
WO2017167797A1 (en) * 2016-03-29 2017-10-05 Technic France Solution and method for etching titanium based materials
BR112018077426B1 (pt) 2016-07-19 2023-05-02 Ecolab Usa Inc Composição de limpeza, e, método de remoção de resíduo de goma de mascar e/ou outras substâncias alimentares pegajosas
WO2018098139A1 (en) * 2016-11-25 2018-05-31 Entegris, Inc. Cleaning compositions for removing post etch residue
JP6870848B2 (ja) * 2017-04-28 2021-05-12 竹本油脂株式会社 合成樹脂用導電剤、導電性樹脂組成物及び導電性床材
US10879212B2 (en) * 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
KR20190058758A (ko) * 2017-11-21 2019-05-30 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 디스플레이 장치의 제조방법
US10670964B2 (en) 2017-11-21 2020-06-02 International Business Machines Corporation Ruggedized solder mask material
IL274880B2 (en) 2017-12-08 2024-04-01 Basf Se Composition and process for selectively burning a layer containing an aluminum compound in the presence of layers of materials with low K, copper and/or cobalt
KR102487940B1 (ko) 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
JP7166113B2 (ja) * 2018-09-11 2022-11-07 東京応化工業株式会社 表面処理剤及び表面処理方法
US10927397B2 (en) * 2018-10-16 2021-02-23 Sterilex, Llc Compositions, devices and methods for detecting biofilms
KR102591806B1 (ko) * 2018-11-12 2023-10-23 삼성디스플레이 주식회사 은 함유 박막의 식각 조성물, 이를 이용한 패턴 형성 방법 및 표시장치의 제조 방법
CN109504959B (zh) * 2018-12-17 2021-04-23 熊映明 铝合金喷涂预处理无铬钝化线清洗水反向串联节水配置
EP3674442A1 (en) 2018-12-24 2020-07-01 IMEC vzw Etching using an electrolyzed chloride solution
AU2020272127B2 (en) 2019-04-12 2022-12-08 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner and methods of making and using the same
JP2022530669A (ja) * 2019-05-01 2022-06-30 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
US11268025B2 (en) * 2019-06-13 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11211543B2 (en) 2019-12-05 2021-12-28 Microsoft Technology Licensing, Llc Semiconductor-superconductor hybrid device and its fabrication
EP4070390A1 (en) * 2019-12-05 2022-10-12 Microsoft Technology Licensing, LLC Method of selectively etching a metal component
WO2021127366A1 (en) * 2019-12-20 2021-06-24 M-I L.L.C. Corrosion inhibitor
TW202200756A (zh) 2020-03-19 2022-01-01 日商東京應化工業股份有限公司 半導體處理液及半導體元件之製造方法
US11807792B2 (en) * 2020-03-19 2023-11-07 Tokyo Ohka Kogyo Co., Ltd. Semiconductor processing liquid and method for processing substrate
CN113430072B (zh) * 2020-03-23 2024-05-07 上海新阳半导体材料股份有限公司 移除硬遮罩的钴兼容性半水基清洗液、其制备方法及应用
CN116250063A (zh) 2020-07-30 2023-06-09 恩特格里斯公司 用于移除硬掩模的方法
EP4271765A1 (en) * 2020-12-29 2023-11-08 Entegris, Inc. Selective removal of metal oxide hard masks
KR20230079903A (ko) * 2021-11-29 2023-06-07 (주)후성 실리콘 질화막 에칭 조성물 및 이의 제조방법
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0937691A1 (fr) * 1998-02-23 1999-08-25 Saint-Gobain Vitrage Procédé de gravure d'une couche conductrice
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US6911393B2 (en) * 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
WO2004101222A2 (en) 2003-05-12 2004-11-25 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
EP1690135A4 (en) 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
TW200726858A (en) 2005-04-15 2007-07-16 Advanced Tech Materials Apparatus and method for supercritical fluid removal or deposition processes
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20080025697A (ko) 2005-05-26 2008-03-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
JP2008546036A (ja) 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
US20090192065A1 (en) 2005-06-16 2009-07-30 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
CN101356628B (zh) 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
US7678702B2 (en) * 2005-08-31 2010-03-16 Air Products And Chemicals, Inc. CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
CN101421386B (zh) 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20100056410A1 (en) 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
SG10201610631UA (en) 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
CN101636465A (zh) 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
TW200927918A (en) 2007-08-20 2009-07-01 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
EP2227319A2 (en) 2007-11-14 2010-09-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
CN102007196B (zh) 2008-03-07 2014-10-29 高级技术材料公司 非选择性氧化物蚀刻湿清洁组合物及使用方法
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
KR20110063845A (ko) 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5792284B2 (ja) 2010-04-15 2015-10-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 老朽化したプリント回路基板のリサイクル方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
SG187551A1 (en) * 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN105274338A (zh) 2010-08-20 2016-01-27 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
KR20140012660A (ko) * 2011-03-11 2014-02-03 바스프 에스이 베이스 웨이퍼 관통 비아들을 형성하는 방법
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
BR112013032436A2 (pt) 2011-06-21 2019-09-24 Advanced Tech Materials Inc método para a recuperação de óxido de cobalto litiado de baterias de íon de lítio
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN105869997A (zh) 2011-10-21 2016-08-17 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
SG11201403228RA (en) 2011-12-15 2014-07-30 Advanced Tech Materials Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
KR102352465B1 (ko) 2012-03-12 2022-01-18 엔테그리스 아시아 엘엘씨 애싱된 스핀-온 유리의 선택적 제거 방법
EP2828371A4 (en) 2012-03-18 2015-10-14 Entegris Inc POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE
US20150050199A1 (en) 2012-04-06 2015-02-19 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
KR102100254B1 (ko) 2012-05-11 2020-04-13 엔테그리스, 아이엔씨. 규소화물 제작 중의 NiPt 습식 에칭을 위한 배합물
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
SG11201407657YA (en) 2012-05-18 2014-12-30 Advanced Tech Materials Aqueous clean solution with low copper etch rate for organic residue removal improvement
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
CN105102584B (zh) * 2013-03-04 2018-09-21 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
CN105143517A (zh) 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0937691A1 (fr) * 1998-02-23 1999-08-25 Saint-Gobain Vitrage Procédé de gravure d'une couche conductrice
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108231573B (zh) * 2016-12-14 2024-01-16 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
CN108231573A (zh) * 2016-12-14 2018-06-29 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
WO2019019533A1 (zh) * 2017-07-25 2019-01-31 上海新阳半导体材料股份有限公司 清洗剂及其制备方法和应用
US11549086B2 (en) 2017-07-25 2023-01-10 Shanghai Sinyang Semiconductor Materials Co., Ltd. Cleaning agent and preparation method and use thereof
CN109881204B (zh) * 2017-12-06 2021-07-09 深圳新宙邦科技股份有限公司 一种镁银合金清洗剂及清洗方法
CN109881204A (zh) * 2017-12-06 2019-06-14 深圳新宙邦科技股份有限公司 一种镁银合金清洗剂及清洗方法
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN108486575A (zh) * 2018-05-17 2018-09-04 洛阳理工学院 一种水溶性双咪唑啉衍生物缓蚀剂及其制备方法和用途
CN112080279A (zh) * 2019-06-12 2020-12-15 关东鑫林科技股份有限公司 蚀刻组合物
CN114466852A (zh) * 2019-09-30 2022-05-10 弗萨姆材料美国有限责任公司 在半导体器件制造过程中选择性去除氮化硅的蚀刻组合物和方法
CN110885979B (zh) * 2019-12-13 2021-12-03 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
CN110885979A (zh) * 2019-12-13 2020-03-17 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
CN115043594A (zh) * 2021-03-09 2022-09-13 易安爱富科技有限公司 显示器基板用蚀刻液及其制备方法
CN113583745A (zh) * 2021-07-09 2021-11-02 煤炭科学技术研究院有限公司 一种兼容型液压支架用浓缩液及其制备方法
CN113583745B (zh) * 2021-07-09 2022-08-26 煤炭科学技术研究院有限公司 一种兼容型液压支架用浓缩液及其制备方法
CN114634803A (zh) * 2022-04-13 2022-06-17 西南石油大学 一种适用于180~200℃的耐超高温酸化缓蚀剂及其制备方法
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液

Also Published As

Publication number Publication date
EP3027709A4 (en) 2017-03-29
KR20160037998A (ko) 2016-04-06
US20160185595A1 (en) 2016-06-30
KR102338526B1 (ko) 2021-12-14
TW201510180A (zh) 2015-03-16
EP3027709A1 (en) 2016-06-08
CN112442374A (zh) 2021-03-05
WO2015017659A1 (en) 2015-02-05
TWI683889B (zh) 2020-02-01
US10138117B2 (en) 2018-11-27

Similar Documents

Publication Publication Date Title
CN105431506A (zh) 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
CN104145324B (zh) 用于选择性蚀刻氮化钛的组合物和方法
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
CN105492576B (zh) 选择性蚀刻氮化钛的组合物和方法
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
KR101891363B1 (ko) 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
CN108121149A (zh) TiN硬掩模和蚀刻残留物去除
KR20160082231A (ko) 높은 wn/w 에칭 선택비를 지닌 스트립핑 조성물
JP2021536669A (ja) セリア粒子向けのcmp後洗浄用組成物
US20220049160A1 (en) Nitride etchant composition and method
JP7507309B2 (ja) 窒化物エッチング剤組成物及び方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20161008

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
RJ01 Rejection of invention patent application after publication

Application publication date: 20160323

RJ01 Rejection of invention patent application after publication