CN104715095B - 一种图形化时钟质量检测分析的方法 - Google Patents

一种图形化时钟质量检测分析的方法 Download PDF

Info

Publication number
CN104715095B
CN104715095B CN201310689859.3A CN201310689859A CN104715095B CN 104715095 B CN104715095 B CN 104715095B CN 201310689859 A CN201310689859 A CN 201310689859A CN 104715095 B CN104715095 B CN 104715095B
Authority
CN
China
Prior art keywords
clock
analysis
design
window
graphical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310689859.3A
Other languages
English (en)
Other versions
CN104715095A (zh
Inventor
汪燕芳
董森华
刘毅
牛飞飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Empyrean Technology Co Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201310689859.3A priority Critical patent/CN104715095B/zh
Publication of CN104715095A publication Critical patent/CN104715095A/zh
Application granted granted Critical
Publication of CN104715095B publication Critical patent/CN104715095B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

随着大规模集成电路的时钟***结构日趋复杂,在时钟设计和时钟综合过程中如何快速找出影响时钟质量关键问题所在,缩短时钟设计综合收敛周期,成为了业界关注的焦点问题。本文提出一种图形化时钟质量检测分析的方法,通过简单的一个按键快速检测当前时钟设计中存在问题,并通过图形窗口显示检测结果,大大简化了设计工程师分析问题的复杂度;在详细结果分析窗口中,图形化显示存在问题的特征结构,并对错误结构定义、对整个时钟***影响、以及如何优化错误结构作出简要说明;还支持将检测的结果产生相对应的文字报告,极大方便了前端和后端设计工程师之间的交流。

Description

一种图形化时钟质量检测分析的方法
技术领域
图形化时钟质量检测分析方法是EDA工具在时钟设计、时钟综合过程中的一种自动检测时钟电路中的存在问题,图形化显示影响时钟质量的关键特征结构,并提供优化方案的方法。本发明属于EDA设计领域。
背景技术
随着集成电路设计规模的扩大和工艺的日趋复杂,对时钟信号控制电路要求越来越高,后端物理设计不得不依赖于EDA(电子设计自动化)工具的辅助。时钟信号控制着电路中所有同步单元的工作,随着超高速、低功耗、高性能的集成电路发展,时钟电路的规模和复杂度也越来越大,在时钟设计和时钟综合过程中收敛周期越来越长。如何保证时钟***设计的正确性,提高时钟***的性能和可靠性,缩短收敛周期已经成为了设计瓶颈问题。
通常一个时钟结构包括时钟定义点、组合逻辑单元(例如门控时钟单元),同步单元(例如触发器单元)等。同步单元之间通过组合逻辑单元进行数据信号传递,在时钟信号的有效跳沿或者有效电平时进行数据锁存。
当时钟***越来越庞大,时钟驱动的同步单元越来越多,相互时序关系和时钟相互依赖关系也越来越复杂,影响时钟控制***质量的因素也越来越多。设计者在时钟设计过程中分析以及找出设计缺陷的难度也越来越大。如何简单明了地显示时钟结构和相互关系,如何突出表达时钟的结构特点,如何快速找出当前时钟设计中影响时钟控制***质量关键问题所在,缩短时钟设计综合收敛周期,都成为了业界关注的焦点问题。目前现有工具无法对时钟***进行有效的、***的分析,找出关键问题结构,并通过图形化方式展现存在问题的电路结构,提出优化方案。
因此在这里我们提出了一种方法:图形化时钟质量检测分析的方法,它清晰展示当前时钟***结构,为设计者提供一键式快速分析并发现设计缺陷,并根据问题严重性排序,以便快速解决问题,从而提高了时钟树综合的质量,保证了芯片的时序收敛。
发明内容
本发明提出一种一键式自动检测时钟***质量的方法,这种方法自动分析当前时钟***中存在的可能影响时钟控制质量的关键结构,使用一个窗口,一个按键即可快速检测分析,找出关键问题所在;并把这些问题通过图形化方式进行展现,提供从局部到整体的全面图形结构。
基本思想:对于一个复杂的时钟***,在时钟设计和时钟综合过程中,会存在各种问题。现有EDA工具提供了时钟***的很多种分析报告,但设计者中很难从众多分析报告中得知当前时钟***存在的关键问题。因此我们提出一种一键式自动检测分析时钟结构的方法,提供操作简单明了的用户界面,在一个窗口内即能快速检测并显示其存在的主要问题。
图 1 为自动检测的入口点,只需一个按钮就能找出时钟设计中存在的各种问题。简单明了的界面,解决了设计者不知从何入手分析查找问题的困难。具体的分析结果如图2显示,按照对时钟质量影响的重要程度进行排序,使得更容易找到瓶颈关键问题。在每一类型错误条目中,可以详细查看具体的问题,分析其具体结构、对时钟结构造成何种影响、以及提出优化方案。图3 显示了某一类问题的分析结果,其中左边树型结构列出了当前设计中存在的所有的这类问题;右边上半部分图形显示所选中的时钟连接结构;下半部分分别对当前结构的定义、对整个时钟结构的影响、以及如何修正优化该结构给出了简要的说明和指导(如图 5 所示)。
为了更好的理解分析结果,我们提供了特征结构示意图用以解释当前结构,如图4 所示。为了让设计者更加明确该问题在整个时钟***的具***置,支持在时钟图形模块中高亮显示当前的结构。为了便于在复杂的时钟***结构进行查找定位,窗口还支持关键字查询和有效性、可靠性的检查结果高亮显示功能。如图7所示,用户还可以配置检测选项,例如检测哪些类别错误,检测哪些时钟等。
除了图形化分析结果之外,还可以将本次检测结果以文字报告形式保存,如图6所示。这也大大方便了前端设计工程师与后端设计工程师之间的沟通。
附图说明
图1 自动检测***主窗口
图2 检测结果按照对质量影响重要程度排序
图3 详细结果分析窗口
图4 图形化定义一种错误结构
图5 对错误结构的简要说明
图6 检测结果文字报告
图7 配置检测选项窗口
具体实施步骤:
结合一个具体的实例说明图形化时钟质量检测分析的方法,操作流程步骤如下:
1)准备电路单元库文件,记录连接关系的电路网表文件,定义时钟和时延约束的文件;
2)打开EDA工具,显示如图1所示的时钟检测***主窗口,其中所包含的三个主要功功能区域:Diagnosis/ Schematic/ 自动检测按键;
3)点击自动检测按键开始检测当前时钟***,结果在如图2 所示;
4)点击具体某一类错误或警告的结果按键,显示图3详细的结果分析窗口;
5)在结果分析窗口,点击Demo 按钮显示图4该类问题的图形定义;
6)在结果分析窗口的右下部,分别对当前问题的定义、对时钟***影响以及相应的优化方案做出了简要说明,如图5所示;
将本次检测结果以文字报告形式保存,如图6所示。

Claims (2)

1.一种图形化时钟质量检测分析的方法,涉及到EDA设计工具,其特征在于,包括以下步骤:
(1)通过一个按键自动检测时钟***中所有错误;
(2)在一个窗口中按照错误影响重要程度排序,分析当前时钟设计中存在的所有问题,在每一类型错误条目中,查看具体的问题,分析其具体结构、对时钟结构造成何种影响;
(3)在详细结果分析窗口中,找到当前时钟设计中的同类错误,并以图形化方式显示错误的特征结构;
(4)在详细结果分析窗口中,图形化定义说明错误结构,阐述该结构对时钟***的影响,并提供优化解决方案;
(5)对分析结果产生相应的文字报告。
2.根据权利要求1所述图形化时钟质量检测分析的方法,其特征在于:还包括,(6)在时钟***的时钟图形模块中,高亮显示当前的错误结构。
CN201310689859.3A 2013-12-17 2013-12-17 一种图形化时钟质量检测分析的方法 Active CN104715095B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310689859.3A CN104715095B (zh) 2013-12-17 2013-12-17 一种图形化时钟质量检测分析的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310689859.3A CN104715095B (zh) 2013-12-17 2013-12-17 一种图形化时钟质量检测分析的方法

Publications (2)

Publication Number Publication Date
CN104715095A CN104715095A (zh) 2015-06-17
CN104715095B true CN104715095B (zh) 2018-05-11

Family

ID=53414421

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310689859.3A Active CN104715095B (zh) 2013-12-17 2013-12-17 一种图形化时钟质量检测分析的方法

Country Status (1)

Country Link
CN (1) CN104715095B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106650111B (zh) * 2016-12-26 2019-12-06 北京华大九天软件有限公司 一种基于时序依赖关系的时钟综合结果评价方法
CN106650128B (zh) * 2016-12-28 2019-11-19 北京华大九天软件有限公司 一种图形化显示时钟结构及时序相关性的方法
CN111881646B (zh) * 2020-07-03 2021-05-18 广芯微电子(广州)股份有限公司 一种基于结构和时序的时钟树质量检测方法及装置
CN114444986B (zh) * 2022-04-11 2022-06-03 成都数之联科技股份有限公司 产品分析方法及***及装置及介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103425804A (zh) * 2012-05-15 2013-12-04 北京华大九天软件有限公司 一种图形化显示时钟***结构的方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080086708A1 (en) * 2006-10-05 2008-04-10 Dan Rittman System and method for automatic elimination of electromigration and self heat violations of a mask layout block, maintaining the process design rules correctness
WO2009147789A1 (ja) * 2008-06-06 2009-12-10 日本電気株式会社 回路設計システムおよび回路設計方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103425804A (zh) * 2012-05-15 2013-12-04 北京华大九天软件有限公司 一种图形化显示时钟***结构的方法

Also Published As

Publication number Publication date
CN104715095A (zh) 2015-06-17

Similar Documents

Publication Publication Date Title
CN104715095B (zh) 一种图形化时钟质量检测分析的方法
US8234608B2 (en) Circuit specification description visualizing device, circuit specification description visualizing method and storage medium
US6564365B1 (en) Method of simultaneously displaying schematic and timing data
EP3213215B1 (en) Embedded universal serial bus (usb) debug (eud) for multi-interfaced debugging in electronic systems
CN102034344B (zh) 光电隔离型超量通道电压信号在线检测诊断装置及其方法
CN101464921B (zh) 一种生成芯片工艺调整的测试向量的方法及***
US8661383B1 (en) VLSI black-box verification
CN106646315B (zh) 一种数字测量仪器的自动测试***及其测试方法
CN102214133A (zh) 一种计算机硬件快速诊断测试***
CN104516813B (zh) 网络页面的测试节点的选取方法和装置
CN102788951B (zh) Ate测试结果判断方法及ate测试方法
CN113901745A (zh) 芯片测试方法、装置、电子设备及计算机可读存储介质
CN115630036A (zh) 报错信息处理方法、装置、设备、存储介质和程序产品
CN103425804B (zh) 一种图形化显示时钟***结构的方法
CN111914502A (zh) 一种基于rtl网表的复位网络提取方法
CN115293084A (zh) 一种门级网表跨时钟域自动化分析方法及***
US20080243470A1 (en) Logical check assist program, recording medium on which the program is recorded, logical check assist apparatus, and logical check assist method
CN103645435A (zh) 多信号模型可编程逻辑器件的软件模块可测性设计方法
CN105022692A (zh) 一种死锁检测验证方法
CN107885675B (zh) 一种多功能测量仪器程控命令处理方法
CN102034556A (zh) 一种基于扫描链的存储器测试方法
CN110298112B (zh) 一种断言状态机和波形图的联合同步纠错方法、存储介质和终端
JP4861734B2 (ja) 故障解析プログラム、該プログラムを記録した記録媒体、故障解析方法、および故障解析装置
CN110313159A (zh) 通信数据建模方法
CN107861049A (zh) 基于LabVIEW和频谱仪整机平台的电路板自动调测方法和***

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: 100102 Second Floor, Block A, No. 2, Lize Middle Road, Chaoyang District, Beijing

Patentee after: Beijing Huada Jiutian Technology Co.,Ltd.

Address before: 100102 Second Floor, Block A, No. 2, Lize Middle Road, Chaoyang District, Beijing

Patentee before: HUADA EMPYREAN SOFTWARE Co.,Ltd.