WO2013027412A1 - 反射型マスクおよびその製造方法 - Google Patents

反射型マスクおよびその製造方法 Download PDF

Info

Publication number
WO2013027412A1
WO2013027412A1 PCT/JP2012/005309 JP2012005309W WO2013027412A1 WO 2013027412 A1 WO2013027412 A1 WO 2013027412A1 JP 2012005309 W JP2012005309 W JP 2012005309W WO 2013027412 A1 WO2013027412 A1 WO 2013027412A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
shielding frame
reflective mask
light shielding
forming
Prior art date
Application number
PCT/JP2012/005309
Other languages
English (en)
French (fr)
Inventor
福上 典仁
陽 坂田
一晃 松井
原太 渡辺
Original Assignee
凸版印刷株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2011184186A external-priority patent/JP5754592B2/ja
Priority claimed from JP2011213242A external-priority patent/JP5786605B2/ja
Application filed by 凸版印刷株式会社 filed Critical 凸版印刷株式会社
Priority to CN201280041142.1A priority Critical patent/CN103748660B/zh
Priority to EP12825834.0A priority patent/EP2750164B1/en
Priority to KR1020147004690A priority patent/KR101596177B1/ko
Publication of WO2013027412A1 publication Critical patent/WO2013027412A1/ja
Priority to US14/187,885 priority patent/US9285672B2/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Definitions

  • the present invention relates to a reflective mask and a method for manufacturing a reflective mask, and in particular, a reflection used in a semiconductor manufacturing apparatus using EUV lithography using extreme ultraviolet (Extreme Ultra Violet; hereinafter referred to as “EUV”) as a light source.
  • EUV extreme ultraviolet
  • the present invention relates to a method for manufacturing a mold mask and a reflective mask.
  • the lithography exposure method uses light in the EUV region with a wavelength of 13.5 nm from the conventional exposure using ArF excimer laser light with a wavelength of 193 nm. It is being replaced by the exposure. Since EUV lithography has a short light source wavelength and very high light absorption, it needs to be performed in a vacuum. In the EUV wavelength region, the refractive index of most substances is slightly smaller than 1.
  • a transmission type refractive optical system that has been conventionally used cannot be used, and a reflection optical system is used. Therefore, a photomask (hereinafter referred to as a mask) as an original plate cannot be used as a conventional transmission type mask, and therefore a reflection type mask must be used.
  • a photomask hereinafter referred to as a mask
  • Such a reflective mask is produced based on a substrate called a reflective mask blank.
  • a multilayer reflective layer having a high reflectance with respect to the exposure light source wavelength and an absorption layer that absorbs the exposure light source wavelength are sequentially formed on the low thermal expansion substrate, and further on the back surface of the substrate.
  • a reflective mask having a structure having a multilayer reflective layer and a buffer layer between the absorbing layers.
  • the thickness of the absorption layer In order to prevent such blurring of the pattern edge portion and deviation from the design dimension, it is effective to reduce the thickness of the absorption layer and to reduce the height of the pattern.
  • the thickness of the absorbing layer is reduced, the light shielding property in the absorbing layer is lowered, the transfer contrast is lowered, and the accuracy of the transfer pattern is lowered. That is, if the absorption layer is too thin, the contrast necessary for maintaining the accuracy of the transfer pattern cannot be obtained.
  • the thickness of the absorbing layer is too thick or too thin, it is currently in the range of 50 to 90 nm, and EUV light (extreme ultraviolet light) is reflected by the absorbing layer.
  • the rate is about 0.5 to 2%.
  • a reflective mask provided with a light-shielding frame having a high light-shielding property with respect to the exposure light source wavelength has been proposed by reducing the reflectance of the multilayer reflective layer by laser irradiation or ion implantation on the reflective mask (for example, Patent Document 1).
  • FIG. 12 shows a schematic sectional view of a conventional reflective mask 901.
  • Most of the light shielding frame 11 shown in FIG. 23 can make the EUV reflectance almost zero, but the EUV reflectance (903, 904) near the edge of the light shielding frame is higher than before the light shielding frame is formed.
  • the problem that becomes. This is because, in the method of simply digging the multilayer reflective layer 2, it is necessary to remove the absorption layer 4 that has contributed to the reduction of the EUV reflectivity, so that the EUV light is incident and reflected in the vicinity of the edge of the light shielding frame.
  • the light shielding frame 11 for reducing the EUV reflectance causes a problem that the EUV reflected light leaks at the edge of the light shielding frame and raises the EUV reflectance, resulting in a decrease in the light shielding performance. End up.
  • OoB out-of-band light
  • a conductive film is provided on the back surface of the substrate.
  • the conductive film is often made of a material containing chromium (Cr) as the main material, and OoB light entering from the mask surface is transmitted through the substrate, reflected by the conductive film on the back surface, and transmitted through the substrate again. There is an inconvenience of adversely affecting the mask surface.
  • the multilayer reflective layer is dug after the mask pattern is formed, it is necessary to process 40 layers when Si and Mo are alternately provided to form a set of layers. After removing the upper absorption layer, the multilayer reflective layer Therefore, if only a few layers of the multilayer reflective layer remain, there is a concern that the reflectance may be increased.
  • the present invention has been made in view of the above problems, and an object thereof is to provide a reflective mask having a light-shielding frame with high light-shielding performance and a method for manufacturing the same.
  • Another object of the present invention is to provide an easy-to-manufacture reflective mask having a light-shielding frame that reduces the influence of OoB light, and a method for manufacturing the same.
  • Another object of the present invention is to provide a reflective mask that does not leave a multilayer reflective film and is easy to manufacture and has high light shielding properties, and a method for manufacturing the same.
  • the present invention includes a substrate, a multilayer reflective layer formed on one surface of the substrate, a protective layer formed on the multilayer reflective layer, and an absorption layer formed on the protective layer.
  • the absorption layer, the protective layer, and the multilayer reflection layer are removed at least at a part of the outside of the formed circuit pattern region, and the opening width in the light shielding frame of the multilayer reflection layer is larger than the opening width of the absorption layer positioned above it. It is a reflective mask having a light-shielding frame that is also wide.
  • the present invention also relates to a method for manufacturing a reflective mask, the step of forming a multilayer reflective layer on one surface of a substrate, the step of forming a protective layer on the multilayer reflective layer, Dry etching or forming a step of forming an absorption layer, a step of forming a circuit pattern on the reflection layer, and a multilayer reflection layer, a protective layer, and an absorption layer in a frame region outside the circuit pattern until one surface of the substrate is exposed. Forming a light-shielding frame that is removed by wet etching so that the opening width of the multilayer reflective layer is wider than the opening width of the absorption layer located thereabove.
  • the step of forming a multilayer reflective layer on one surface of the substrate on the substrate formed of a material containing quartz (SiO2) as a main component and titanium oxide (TiO2).
  • a sacrificial film is formed of an oxide film (SiO), nitride film (SiN) or Al film mainly containing silicon (Si), and then molybdenum (Mo) and silicon (Si) are formed on the substrate.
  • Tantalum (Ta) and its oxide are formed on the multilayer reflective layer in the process of forming a multilayer reflective layer that reflects exposure light and forming an absorption layer, which is formed of a multilayer structure in which a plurality of layers are alternately laminated.
  • An absorption layer that absorbs exposure light is formed by a laminated structure in which a material containing any of oxide, nitride, oxynitride, or silicon (Si) oxide, nitride, or oxynitride is laminated, and a light shielding frame is formed. In the forming step, a light shielding frame is provided in a region where the sacrificial film is formed.
  • the reflection of EUV light near the edge of the light shielding frame can be reduced to almost zero.
  • a manufacturing method can be provided, and an effect that a highly accurate transfer pattern can be formed is achieved.
  • FIG. 1 is a schematic cross-sectional view and a schematic plan view of the structure of a reflective mask according to the first embodiment of the present invention.
  • FIG. 2 is a schematic cross-sectional view showing a reflective mask manufacturing process (up to pattern formation) according to an example of the first embodiment of the present invention.
  • FIG. 3 is a schematic plan view showing a reflective mask (up to pattern formation) according to an example of the first embodiment of the present invention.
  • FIG. 4 is a schematic cross-sectional view showing a reflective mask manufacturing process (shading frame formation) according to an example of the first embodiment of the present invention.
  • FIG. 5 is a schematic view showing a reflective mask according to an example of the first embodiment of the present invention.
  • FIG. 1 is a schematic cross-sectional view and a schematic plan view of the structure of a reflective mask according to the first embodiment of the present invention.
  • FIG. 2 is a schematic cross-sectional view showing a reflective mask manufacturing process (up to pattern formation) according to an example of the first embodiment of
  • FIG. 6 is a schematic cross-sectional view showing a reflective mask manufacturing process (shading frame formation) according to an example of the first embodiment of the present invention.
  • FIG. 7 is a schematic view showing a reflective mask according to an example of the first embodiment of the present invention and a conventional reflective mask.
  • FIG. 8 is a schematic cross-sectional view showing a reflective mask manufacturing process (shading frame formation) according to an example of the first embodiment of the present invention.
  • FIG. 9 is a schematic view showing a reflective mask according to an example of the first embodiment of the present invention and a conventional reflective mask.
  • FIG. 10 is a schematic view showing the measurement points of the EUV reflectance of the light shielding frame part of the reflective mask according to the example of the first embodiment of the present invention.
  • FIG. 11 is a schematic diagram showing EUV reflectance data of the light shielding frame portion of the reflective mask according to the example of the first embodiment of the present invention.
  • FIG. 12 is a schematic cross-sectional view showing the structure of a reflective mask according to the second embodiment of the present invention.
  • FIG. 13 is a schematic view showing a main part of a reflective mask according to the second embodiment of the present invention.
  • FIG. 14 is a schematic cross-sectional view showing the structure of a reflective mask according to the second embodiment of the present invention.
  • FIG. 15 is a schematic view showing a main part of a reflective mask according to the second embodiment of the present invention.
  • FIG. 16 is a schematic view of a reflective mask according to an example of the second embodiment of the present invention.
  • FIG. 17 is a schematic view of a reflective mask according to an example of the second embodiment of the present invention.
  • FIG. 18 is a cross-sectional view illustrating a reflective mask blank according to the third embodiment of the present invention.
  • FIG. 19 is a plan view for explaining a reflective mask according to the third embodiment of the present invention.
  • FIG. 20 is a diagram for explaining a manufacturing method of a reflective mask according to the third embodiment of the present invention.
  • FIG. 21 is a cross-sectional view showing an example of a reflective mask manufacturing method according to the third embodiment of the present invention.
  • FIG. 22 is a cross-sectional view showing an example of a reflective mask manufacturing method according to the third embodiment of the present invention.
  • FIG. 23 is a schematic cross-sectional view showing a problem of the light shielding frame structure of the conventional reflective mask.
  • FIGS. 1A, 1B, and 1C are schematic cross-sectional views of the structure of a reflective mask according to the present invention
  • FIG. 1D shows FIGS. 1A, 1B, and 1C. It is the schematic plan view seen from the top. That is, the configuration of the reflective mask of this embodiment may be any of 101, 102, and 103.
  • Each of the reflective masks 101, 102, and 103 shown in FIGS. 1A, 1B, and 1C has a multilayer reflective layer 2, a protective layer 3, and an absorption layer 4 sequentially formed on the surface of the substrate 1. Yes.
  • a conductive film 5 is formed on the back surface of the substrate.
  • the buffer layer is a layer provided so as not to damage the underlying protective layer 3 when the mask pattern of the absorption layer 4 is corrected.
  • the reflective masks 101, 102, and 103 include the pattern region 10 in which the absorption layer 4 is processed and, for example, the absorption layer 4, the protective layer 3, the multilayer reflection layer 2, and the buffer layer (at least part of the outer peripheral portion). In this case, the light-shielding frame 11 is removed from the buffer layer.
  • the EUV light incident angle (angle formed by the light traveling direction and the direction perpendicular to the reflective mask surface) ⁇ of EUV exposure is within 6 degrees. Even when ⁇ is 6 degrees or more, the value of multilayer reflection layer film thickness ⁇ tan ⁇ ⁇ 2 is calculated according to the EUV light incident angle ⁇ as described above to absorb the opening width of multilayer reflection layer 2. By making the opening width of the layer 4 larger than this value, the opening width of the multilayer reflective layer 2 can be determined.
  • the reflective mask 102 has a sidewall in which the multilayer reflective layer 2 has a reverse taper of ⁇ 6 degrees or more (the width of the multilayer reflective layer 2 decreases toward the substrate (below the mask)). It has a shape with an angle.
  • the reflective mask 103 has the multilayer reflective layer 2 having a forward taper shape (the width of the multilayer reflective layer 2 becomes smaller toward the absorption film (upper part of the mask)).
  • the present invention is not limited to this, and a bowing shape (a rounded shape often found in isotropic etching) may be used.
  • the opening width of the bottom portion (the portion in contact with the substrate 1) of the multilayer reflective layer 2 is the multilayer reflective layer 2 As long as it is at least 21% wider than the film thickness.
  • the reflection type masks 101, 102, and 103 do not cause leakage of EUV reflected light near the edge of the light shielding frame in 6 degree incidence EUV exposure depending on the structure.
  • the values defined as the opening width of 21% or more of the film thickness and the inverted taper shape of ⁇ 6 degrees are values confirmed by experiments to prevent leakage of EUV reflected light.
  • the multilayer reflective layer 2 shown in FIGS. 1A, 1B, and 1C is designed so as to achieve a reflectance of about 60% with respect to EUV light.
  • the uppermost protective layer 3 is a laminated film of 50 pairs, and is composed of ruthenium (Ru) having a thickness of 2 to 3 nm or silicon (Si) having a thickness of about 10 nm.
  • the layer adjacent to the Ru layer is a Si layer.
  • the reason why Mo and Si are used is that the absorption (extinction coefficient) with respect to EUV light is small and the refractive index difference between Mo and Si EUV light is large. This is because it can be high.
  • the protective layer 3 When the protective layer 3 is Ru, it plays a role as a stopper in processing the absorbing layer 4 and a protective layer against chemicals during mask cleaning.
  • the protective layer 3 When the protective layer 3 is Si, there may be a buffer layer between the absorption layer 4 and the protective layer 3.
  • the buffer layer is provided to protect the Si layer, which is the uppermost layer of the multilayer reflective layer 2 adjacent to the bottom of the buffer layer, during etching or pattern modification of the absorption layer 4, and a chromium (Cr) nitrogen compound ( CrN).
  • the absorption layer 4 in FIGS. 1A, 1B, and 1C is made of a nitrogen compound (TaN) of tantalum (Ta) having a high absorption rate with respect to EUV.
  • TaN nitrogen compound
  • TaBN tantalum boron nitride
  • TaSi tantalum silicon
  • TaO tantalum
  • TaBON oxides thereof
  • the absorption layer 4 in FIGS. 1A, 1B and 1C is an absorption layer having a two-layer structure in which a low reflection layer having an antireflection function for ultraviolet light having a wavelength of 190 to 260 nm is provided on the upper layer. There may be.
  • the low reflection layer is for increasing the contrast and improving the inspection property with respect to the inspection wavelength of the mask defect inspection machine.
  • the conductive film 5 shown in FIGS. 1A, 1B, and 1C is generally made of CrN, but may be any material made of a metal material as long as it has conductivity. 1A, 1B, and 1C, the conductive film 5 is described. However, a mask blank and a mask that do not have the conductive film 5 may be used.
  • ⁇ Details of the configuration of the reflective mask excavation of the multilayer reflective layer>
  • a method for forming the light shielding frame of the reflective mask of the present invention will be described.
  • a resist pattern in which only the light shielding frame portion is opened is formed by photolithography or electron beam lithography.
  • the absorption layer 4 and the protective layer 3 in the opening of the resist pattern are removed by dry etching using a fluorine-based or chlorine-based gas (or both).
  • the multilayer reflective layer 2 is penetrated and removed by dry etching using a fluorine-based gas or wet etching using an alkaline solution or an acidic solution.
  • the reason why the fluorine-based gas is used when penetrating / removing the multilayer reflective layer 2 by dry etching is that it has an etching property with respect to both Mo and Si which are materials of the multilayer reflective layer 2.
  • Examples of the fluorine-based gas used at this time include CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , and ClF 3 .
  • the etching solution for penetrating / removing the multilayer reflective layer 2 by wet etching needs to be suitable for etching Mo and Si that are materials of the multilayer reflective layer.
  • TMAH tetramethylammonium hydroxide
  • KOH potassium hydroxide
  • EDP ethylenediamine pyrocatechol
  • a mixed solution of nitric acid and phosphoric acid is suitable as the acidic solution, but hydrofluoric acid, sulfuric acid, and acetic acid may be added thereto.
  • each shape of the side wall of the multilayer reflective layer 2 it is possible to form each shape of the side wall of the multilayer reflective layer 2 at the time of the penetration / removal process of the multilayer reflective layer 2 described above. You may add the dry etching process or wet etching process for giving each shape of the side wall of a multilayer reflective layer.
  • the pattern formation of the pattern region 10 may be performed after the light shielding frame is formed or before the light shielding frame is formed.
  • the reflection of EUV light near the edge of the light shielding frame can be reduced to almost zero, so that a reflective mask having high light shielding performance is obtained. Can do.
  • Example 1-1 a first example of the manufacturing method of the reflective mask according to the present embodiment will be described.
  • the reflective mask blank 100 includes a Mo and Si 40-pair multilayer reflective layer 2 designed to have a reflectance of about 64% with respect to EUV light having a wavelength of 13.5 nm on the substrate 1.
  • a protective layer 3 having a thickness of 2.5 nm is formed thereon, and an absorption layer 4 made of TaSi having a thickness of 70 nm is further formed thereon.
  • a positive chemically amplified resist 9 (FEP171: FUJIFILM Electronics Materials) is applied to the reflective mask blank 100 with a film thickness of 300 nm (FIG. 2B), and an electron beam drawing machine (JBX9000: JEOL) After the drawing, a resist pattern was formed on the resist portion by post exposure bake (Post Exposure Bake: PEB) at 110 ° C. for 10 minutes and spray development (SFG3000: Sigma Meltech) (FIG. 2C).
  • PEB post Exposure Bake
  • SFG3000 Sigma Meltech
  • the absorption layer 4 is etched by CF 4 plasma and Cl 2 plasma using a dry etching apparatus (FIG. 2D), and the resist is peeled and cleaned to have an evaluation pattern shown in FIG.
  • a reflective mask 104 was produced.
  • As the evaluation pattern a 1: 1 line & space pattern having a dimension of 200 nm was arranged at the center of the mask. The size of the pattern region was 10 cm ⁇ 10 cm.
  • a top view of the reflective mask 104 is shown in FIG.
  • a process of forming a light shielding frame 11 was performed on the pattern region 10 of the reflective mask 104 having the above-described evaluation pattern.
  • an i-line resist 29 with a film thickness of 500 nm to the reflective mask 104 (FIG. 4A) (FIG. 4B), drawing and developing with an i-line drawing machine (ALTA) there, A resist pattern was formed by removing a region that later becomes the light shielding frame 11 (FIG. 4C).
  • the opening width of the resist pattern was 5 mm, and the resist pattern was arranged at a distance of 3 ⁇ m (micrometer) from the main pattern region of 10 cm ⁇ 10 cm in the center of the mask.
  • CHF 3 plasma pressure in the dry etching apparatus 50 mTorr, ICP (inductively coupled plasma) power 500 W, RIE (reactive ion etching) power 2000 W, CHF 3 : flow rate 20 sccm, processing time 6 minutes, These are the same in the following notation), and the absorption layer 4 and the multilayer reflective layer 2 in the opening of the resist are penetrated and removed by vertical dry etching (FIGS. 4D and 4E), Side etching was applied to the Mo and Si multilayer reflective layer by wet etching with a mixed aqueous solution of nitric acid, phosphoric acid and hydrofluoric acid for 4 minutes to obtain a shape as shown in FIG.
  • FIGS. 5A and 5B show a reflective mask 101 manufactured and completed in this embodiment.
  • Example 1-2 A second example of the reflective mask manufacturing method of this embodiment will be described.
  • the method for forming the main pattern portion is the same as that in the first embodiment.
  • the process of forming the light shielding frame 11 was performed on the pattern region 10 (FIG. 6A) of the reflective mask 104.
  • An i-line resist 29 is applied to the reflective mask 104 with a film thickness of 500 nm (FIG. 6B), and then drawn and developed by an i-line drawing machine (ALTA) to form a resist portion on the light shielding frame 11 later.
  • a resist pattern was formed by removing the region to be (FIG. 6C). At this time, the opening width of the resist pattern was 5 mm, and the resist pattern was arranged at a distance of 3 ⁇ m from the main pattern region of 10 cm ⁇ 10 cm in the center of the mask.
  • the absorption layer was removed by dry etching using CHF 3 plasma (pressure 15 mTorr, ICP 300 W, RIE 100 W, CHF 3 : 20 sccm, treatment time 1 minute) (FIG. 6D).
  • FIGS. 7A and 7B show a reflective mask 102 manufactured and completed in this embodiment.
  • Example 1-3 Another embodiment of the reflective mask manufacturing method of the present invention, which is different from the first and second embodiments, will be described.
  • the method for forming the main pattern portion is the same as that in the first embodiment.
  • a step of forming a light shielding frame was performed on the pattern region 10 of the reflective mask 104 (FIG. 8A).
  • the i-line resist 29 is applied to the reflective mask 104 with a film thickness of 500 nm (FIG. 8B), and is drawn and developed there by an i-line drawing machine (ALTA).
  • a resist pattern was formed by removing the region to be (FIG. 8C). At this time, the opening width of the resist pattern was 5 mm, and the resist pattern was arranged at a distance of 3 ⁇ m from the main pattern region of 10 cm ⁇ 10 cm in the center of the mask.
  • the absorption layer was removed by dry etching using CHF 3 plasma (pressure 15 mTorr, ICP 300 W, RIE 100 W, CHF 3 : 20 sccm, treatment time 1 minute) (FIG. 8D).
  • FIGS. 9A and 9B show a reflective mask 103 manufactured and completed in this embodiment.
  • the measurement location 20 includes a plurality of points between a location closer to the pattern region 10 than the light shielding frame portion of each reflective mask and a portion extending from the mask extending portion (frame-like portion) side to the light shielding frame portion. did.
  • the light-shielding frame portion of the conventional reflective mask showed a high EUV reflectance near the edge of the light-shielding frame, but the reflective mask of each example had a high EUV reflectance near the edge of the light-shielding frame. Was not seen.
  • the result is shown in FIG.
  • a reflective mask having a light shielding frame with high light shielding performance could be produced.
  • FIGS. 12A to 12D are schematic cross-sectional views of a substantially central portion of the reflective masks 201, 202, 203, and 204 that are embodiments of the present invention.
  • 13A is a schematic view of the reflective masks 201, 202, 203, and 204 shown in FIGS. 12A to 12D as viewed from one side
  • FIG. 13B shows the reflective mask 201
  • FIG. 13C is a schematic view when 202 is seen from the other side
  • FIG. 13C is a schematic view when the reflective masks 203 and 204 are seen from the other side.
  • the surface of the reflective masks 201, 202, 203, and 204 on which the circuit pattern of the substrate 1 is formed is referred to as one surface, and the opposite surface is referred to as the other surface.
  • a multilayer reflective layer (light reflective layer) 2 is formed on one surface of the substrate 1, and an absorbing layer (light absorbing layer) 4 is formed on the multilayer reflective layer 2.
  • the conductive film 5 is formed on the other surface of the substrate 1.
  • a reflective mask 202 shown in FIG. 12B has a configuration in which a buffer layer 6 is added between the multilayer reflective layer 2 and the absorbing layer 4 of the reflective mask 201, and the multilayer reflective layer is formed on one surface of the substrate 1. 2, the buffer layer 6, and the absorption layer 4 are formed in order.
  • a conductive film 5 is formed on the other surface of the substrate 1.
  • the reflective masks 203 and 204 shown in FIGS. 12C and 12D are formed by removing the conductive film 5 from the other surfaces of the reflective masks 201 and 202.
  • an absorption layer region 80 and a frame-shaped frame region 90 are formed on one surface of the reflective masks 201, 202, 203, and 204.
  • the frame-shaped region 90 as shown in FIG. 12, the multilayer reflective layer 2 and the absorbing layer 4 or the multilayer reflective layer 2, the buffer layer 6 and the absorbing layer 4 are removed in a groove shape to form the light shielding frame 11. ing. At the bottom of the light shielding frame 11, one surface of the substrate 1 is exposed and exposed.
  • a conductive film 5 and a frame-shaped conductive film removal region 7 are formed on the other surfaces of the reflective masks 201 and 202.
  • the conductive film removal region 7 as shown in FIGS. 12A and 12B, the conductive film 5 in the frame-shaped region 90 is removed in a groove shape.
  • the other surface of the substrate 1 is exposed and exposed.
  • the conductive film 5 is not provided on the other surfaces of the reflective masks 203 and 204, and the entire other surface of the substrate 1 is exposed and exposed.
  • a method for forming the light shielding frame 11 and the conductive film removal region 7 shown in FIGS. 12 and 13 will be described.
  • high-energy laser light having a short wavelength by using a YAG laser (using a fourth harmonic of 664 nm of 1064 nm) is used.
  • the laser light is transmitted through the substrate 1, but the multilayer reflective layer 2, the absorbing layer 4, the buffer layer 6, and the conductive film 5 are impermeable.
  • the conductive film 5 is formed on the entire other surface of the substrate 1 of the reflective mask 201, and then the multilayer reflective layer 2 and the absorption layer 4 are formed on the entire one surface of the substrate 1.
  • laser light is irradiated to the range of the frame-shaped region 90 from the one surface side or the other surface side. Then, the multilayer reflective layer 2, the absorption layer 4, and the conductive film 5 are collectively removed, and the light shielding frame 11 and the conductive film removal region 7 are formed.
  • the multilayer reflective layer 2, the buffer layer 6, the absorbing layer 4, and the conductive film are similarly irradiated by laser light. 5 or the multilayer reflection layer 2 and the absorption layer 4, or the multilayer reflection layer 2, the buffer layer 6 and the absorption layer 4 are removed in a lump to form the light shielding frame 11, the conductive film removal region 7, or the light shielding frame 11.
  • the light shielding frame 11 and the conductive film removal region 7 are formed before the circuit pattern is formed, but may be formed after the formation. Further, a YAG laser is used as the laser beam, but a CO 2 laser or the like may be used.
  • the light shielding frame 11 has a groove shape, and one surface of the substrate 1 is exposed at the bottom, and the back side of the substrate 1 is also exposed. Since the conductive film removal region 7 is provided and the other surface of the substrate 1 is exposed, the OoB light transmitted through the substrate from the bottom of the light-shielding frame 11 is reflected by the conductive film on the other surface and is transmitted through the substrate again. , It does not return to the mask surface, and the influence of OoB light can be reduced.
  • the conductive film 5 is not provided on the other surface, and the entire other surface of the substrate 1 is exposed and exposed. Therefore, the OoB light transmitted through the substrate from the bottom of the light shielding frame 11 is not reflected by the conductive film on the other surface, transmitted through the substrate 1 again, and returned to the mask surface. The impact can be reduced.
  • the reflective masks 203 and 204 are fixed with a vacuum chuck or the like in an exposure machine (not shown).
  • the throughput is higher than the method of digging by dry etching or the like. Is expensive.
  • the front and back of the substrate 1 can be simultaneously removed with a laser, the positional accuracy on the front and back is high, and the influence of OoB can be extremely reduced. For these reasons, when forming a transfer circuit pattern on a semiconductor substrate, the transfer pattern can be formed with high accuracy.
  • the multilayer reflective layer 2 shown in FIGS. 12A and 12C is the multilayer reflective layer 2 described in the first embodiment, and in particular, the uppermost layer is made of Ru. Since the uppermost Ru plays a role of protecting the stopper and masking chemicals during the processing of the absorption layer, it can be regarded as a protective layer.
  • the multilayer reflective layer 2 shown in FIGS. 12B and 12D is the multilayer reflective layer 2 described in the first embodiment, and in particular, the uppermost layer is made of Si. Since the uppermost layer Si plays the same role as Ru described above, it can be regarded as a protective layer.
  • the buffer layer 6 in FIGS. 12B and 12D is the same as the buffer layer described in the first embodiment.
  • the absorbing layer 4 is etched or the pattern is corrected, the multilayer reflection adjacent to the buffer layer 6 is adjacent to the buffer layer 6. It is provided to protect the Si layer, which is the uppermost layer of the layer 2, and is made of a nitrogen compound (CrN) of chromium (Cr).
  • the absorbing layer 4 in FIGS. 12A to 12D is the same as the absorbing layer 4 described in the first embodiment.
  • the conductive film 5 in FIGS. 12A and 12B is the same as the conductive film 5 described in the first embodiment.
  • FIGS. 14A to 14D are schematic sectional views of substantially central portions of the reflective masks 205, 206, 207, 208 with circuit patterns in which the circuit pattern 85 is formed on the reflective masks 201, 202, 203, 204.
  • FIG. 15A is a schematic view of the reflective masks 205, 206, 207, 208 with circuit patterns as viewed from one side.
  • FIG. 15B is a schematic view of the reflective masks 205 and 206 with circuit patterns as viewed from the other side
  • FIG. 15C is a schematic view of the reflective masks 207 and 208 as viewed from the other side. .
  • the circuit pattern 85 is formed by digging up the absorption layer 4 or the absorption layer 4 and the buffer layer 6 on the multilayer reflective layer 2 located inside the light shielding frame 11.
  • the frame-shaped region 90 whose reflectivity with respect to EUV light is sufficiently smaller than that of the absorption layer region. To obtain a reflective mask.
  • the absorption layer 4 is obtained from fluorocarbon plasma or chlorine plasma, and if necessary, from both plasmas. Etch. Thereafter, resist peeling cleaning is performed to obtain a reflective mask 205 or 207 with a circuit pattern shown in FIGS. 14A and 14C in which a circuit pattern 85 is formed on the absorption layer 4.
  • the reflective mask 202 or 204 shown in FIGS. 12B and 12D first, similarly, after forming a resist pattern by electron beam lithography, from fluorocarbon plasma or chlorine plasma, if necessary, from both plasmas.
  • the absorption layer 4 is etched.
  • the buffer layer 6 is etched by chlorine plasma.
  • resist peeling cleaning is performed to obtain a reflective mask 206 or 208 with a circuit pattern shown in FIGS. 14B and 14D in which the circuit pattern 85 is formed on the absorption layer 4 and the buffer layer 6.
  • the reflective masks 205 to 208 having a frame-like region whose reflectivity with respect to EUV light is sufficiently smaller than that of the absorbing layer region are obtained.
  • the reflective mask manufacturing method and the reflective mask according to this embodiment are not limited to the above-described embodiments, and various modifications can be made.
  • the multilayer reflective layer 2 is formed directly on one surface of the substrate 1, but in order to prevent charge-up during exposure between the substrate 1 and the multilayer reflective layer 2.
  • a conductive film may be provided.
  • Example 2 In order to describe the present embodiment in more detail, examples will be given below. However, the present embodiment is not limited to the examples. In the above-described embodiment and the following examples, the steps before and after the step of forming the light shielding frame 11 and the step of forming the circuit pattern 85 are reversed.
  • Fig.16 (a) the board
  • a conductive film 5 for electrostatic chucking was formed on the other surface of the substrate 1 by a sputtering apparatus.
  • FIG. 16C a multilayer reflection of 40 pairs of molybdenum (Mo) and silicon (Si) designed to have a reflectivity of about 64% with respect to EUV light having a wavelength of 13.5 nm.
  • Layer 2 was laminated on the substrate 1. Subsequently, as shown in FIG.
  • an absorption layer 4 made of TaN was formed on the multilayer reflective layer 2 by a sputtering apparatus.
  • the film thickness of the absorption layer 4 at this time was 50 nm.
  • a reflective mask blank 200 used in the present invention was produced.
  • the reflective mask blank 200 shown in FIG. 16D is subjected to electron beam lithography, dry etching, and resist peeling cleaning to form a circuit pattern 85 on the absorption layer 4, whereby the reflection shown in FIG. A mold mask 209 was produced.
  • a chemically amplified positive resist manufactured by Fujifilm Electronics Materials, product number: FEP-171
  • a dose of 15 ⁇ C / second is obtained by a drawing machine (manufactured by JEOL, product number: JBX9000).
  • a resist pattern was formed using a TMAH (tetramethylammonium hydroxide) 2.38% developer.
  • Cl 2 inductively coupled plasma was applied to the etching of the absorption layer 4.
  • the produced reflective mask 209 shown in FIG. 17A is irradiated from the one side with a YAG laser 4th harmonic laser beam (266 nm) at a frequency of 2.5 kHz and an output of 18 A, and the absorbing layer 4 and the multilayer reflective layer 2 and the conductive film 5 were removed at once.
  • a reflective mask 205 having the light shielding frame 11 and the conductive film removal region 7 was completed.
  • the reflectance of EUV light (wavelength: 13.5 nm) on the absorption layer side of the prepared reflective mask 210 shown in FIG. 17B was measured. The results are shown in Table 1. The reflectance in the region other than the light shielding frame was 1.24%, whereas the reflectance in the frame-like region was 0.00%. Further, in order to investigate the influence of the OoB light, the reflectance at a wavelength in the near-infrared region from the vacuum ultraviolet ray was measured and found to be 0.00%.
  • the reflective mask 210 shown in FIG. 17B exposure was performed using 13.5 nm EUV as a light source, and four adjacent chips were transferred onto the semiconductor substrate. In the adjacent chip, although a part of the region corresponding to the light shielding frame on the manufactured reflective mask was overlapped, the resist exposure in the region on the semiconductor substrate was not confirmed.
  • the influence of OoB light that has an adverse effect is reduced by being transmitted through the substrate, reflected by the conductive film on the other surface, transmitted through the substrate again, and returned to the mask surface. be able to.
  • the substrate is transparent, and the light reflecting layer, the light absorbing layer, and the conductive film are irradiated with laser light from one side or the other side of the substrate to emit light.
  • the process of forming the light shielding frame and the process of forming the conductive film removal region are performed in a lump. Therefore, by dry etching or the like for forming the light shielding frame Throughput is higher than the digging technique.
  • the front and back surfaces can be simultaneously removed by the laser, the positional accuracy on the front and back surfaces of the mask can be increased, and the influence of OoB at the conductive film interface between the glass and the other surface can be reduced.
  • this reflective mask a transfer pattern can be formed with high accuracy.
  • the circuit can be adjusted while adjusting to the already formed light shielding frame as necessary.
  • a pattern can be formed.
  • the step of forming the light shielding frame and the step of forming the conductive film removal region are performed after the step of forming the circuit pattern, the light shielding frame is adjusted as necessary according to the already formed circuit pattern. Can be formed.
  • the multilayer reflective layer, the absorption layer, the conductive film, etc. can be surely removed by the laser light having a short wavelength and high energy.
  • the reflective mask used in EUV lithography is manufactured by any of the above-described reflective mask manufacturing methods, a highly accurate reflective mask can be obtained.
  • FIG. 18A is a cross-sectional view of the reflective mask blank 300.
  • the wavelength of this EUV light is 13.5 nm, for example.
  • a sacrificial film 12 is formed on a part of the upper portion of the substrate 1, and a multilayer reflective layer 2, a protective layer 3, and an absorption layer 4 are formed on one surface of the substrate.
  • the absorption layer 4 is an absorption layer (single layer) 4a (FIG. 18A) or an absorption layer (lamination) 4b (FIG. 18B).
  • a conductive film 5 is formed on the side of the substrate 1 opposite to the multilayer reflective layer 2.
  • the sacrificial film 12 is formed by etching the light shielding frame region A to form a groove, and then depositing it using a known plasma CVD, sputtering method, or ion plating method.
  • a known sputtering method can be used to form the multilayer reflective layer 2, the protective layer 3, the absorption layer 4, and the conductive film 5.
  • the substrate 1 can be formed of a material containing quartz (SiO 2 ) as a main component and titanium oxide (TiO 2 ). In addition, synthetic quartz, silicon, metal, or the like can be used.
  • the substrate 1 is preferably made of a low thermal expansion material.
  • Each of the following films (layers) can be formed using a known vapor deposition method as described above.
  • the sacrificial film 12 can be formed of an oxide film (SiO), nitride film (SiN) or aluminum (Al) film containing silicon (Si) as a main component.
  • the sacrificial film 12 is previously etched in an arbitrary place as a light shielding frame region of the substrate 1 by wet etching such as NaOH, CsOH, HF, KOH, or dry etching by gas plasma using a fluorine-based gas. , There can be formed using the above materials.
  • the thickness of the sacrificial film 12 is less than 1 nm, it is not preferable because the film formation may be difficult. On the other hand, when it is thicker than 1.5 ⁇ m, it takes time to lift off, which is not preferable from the viewpoint of throughput. Therefore, the thickness of the sacrificial film 12 is preferably 1 nm to 1.5 ⁇ m.
  • the multilayer reflective layer 2 can be formed in a multilayer structure in which a plurality of layers (deposits) are alternately stacked using molybdenum (Mo) and silicon (Si) as materials.
  • the protective layer 3 can have a single layer structure or a laminated structure, is formed on a multilayer reflective film, and can be formed of a material containing either ruthenium (Ru) or silicon (Si).
  • the uppermost layer is an oxide, nitride, oxynitride or silicon (Si) oxide, nitride, or acid of ruthenium (Ru) on the single layer structure. It can be formed of a material including any of nitrides. Further, a layered structure can be obtained by using a material having a composition different from that of the single layer structure (if the single layer is Si nitride, the uppermost layer is Si oxide or the like).
  • the absorption layer 4 has a single-layer structure (4a) or a laminated structure (4b), and is formed on the protective film and includes any one of tantalum (Ta) and its oxide, nitride, or oxynitride.
  • the uppermost layer is formed of a material containing any of tantalum (Ta) oxide, nitride, oxynitride, silicon (Si) oxide, nitride, and oxynitride. can do.
  • the conductive film 5 is formed of a material containing any one of chromium (Cr) and tantalum (Ta), or an oxide, nitride, or oxynitride thereof on the substrate surface opposite to the multilayer reflective layer 2. can do.
  • the conductive film 5 can be used when chucking is performed to fix a reflective mask blank or a reflective mask. When other fixing methods are used, the conductive film 5 is not necessarily formed.
  • the light shielding frame 11 can be formed by forming a reflective mask on the reflective mask blank as described above as follows.
  • FIG. 19 shows a reflective mask 301 for exposure using the reflective mask blank 300 shown in FIG.
  • a light-shielding frame region B is formed in part of the absorption layer 4, the protective layer 3, and the multilayer reflective layer 2 outside the circuit pattern C.
  • FIGS. FIG. 20 shows the process
  • FIGS. 21 and 22 show sectional views of the processed state.
  • the reflective mask blank 300 shown in FIG. 18 is prepared, and the light shielding frame region B and the circuit pattern C are formed on the absorption layer 4.
  • a chemical amplification system or non-chemical amplification system resist 21 that reacts with an electron beam is applied (S1), and a predetermined light shielding frame region B and a circuit pattern C are drawn (S2).
  • the protective layer 3 and the multilayer reflective layer 2 in the light shielding frame region B are formed.
  • a resist 21 that reacts to ultraviolet rays or electron beams is applied to the mask (S8).
  • the light shielding frame region B is drawn by exposure or electron beam (S9).
  • the resist 21 is removed (S13), washed (S14), and dried (S15) to complete the light shielding frame 11 in the light shielding frame region B.
  • the etching step (S11) first, the protective layer 3 is removed using fluorine-based gas plasma, and the multilayer reflective layer 2 is subjected to a method of alternately using fluorine-based gas plasma or chlorine gas-based plasma as with the protective layer 3.
  • the reflective mask 301 is completed through the above steps.
  • a sacrificial film is provided on the substrate, and when digging the multilayer reflective layer, each side of the light shielding frame is dry-etched and then the trench is filled with a wet etching solution. And removing the sacrificial film in the lower layer of the multilayer reflective layer and lifting off the multilayer reflective layer from the upper multilayer reflective layer to the absorbing layer so that the multilayer reflective layer does not remain, and the reflective mask having high light shielding properties can be easily obtained. Manufacture is possible.
  • the exposure light is, for example, EUV light having a wavelength of 13.5 nm, but the present invention can be suitably applied to other wavelengths.
  • the present invention can be suitably applied when the wavelength of exposure light is 5 nm or more and 15 nm or less.
  • the above embodiments can be combined.
  • the opening width of the multilayer reflective layer is absorbed in the upper layer as in the first embodiment. It can be made wider than the opening width of the layer.
  • the present invention is useful for a reflective mask or the like.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

 遮光性能の高い遮光枠を有する反射型マスクと、その製造方法を提供する。 多層反射層を掘り込んだ遮光枠を有する反射型マスクにおいて、多層反射層のみにサイドエッチングを入れる、あるいは、逆テーパ形状に加工することで、遮光枠エッジ付近でのEUV光(極端紫外線光)の反射を抑制することが可能となり、高い遮光性を有する反射型マスクを提供することができ、高い精度の転写パターンの形成が可能となる。

Description

反射型マスクおよびその製造方法
 本発明は、反射型マスク、反射型マスクの製造方法に関し、特に極端紫外線(Extreme Ultra Violet;以下「EUV」と表記する)を光源とするEUVリソグラフィを用いた半導体製造装置などに利用される反射型マスク、反射型マスクの製造方法に関する。
 (EUVリソグラフィの説明)
 半導体デバイスの微細化に伴い、フォトリソグラフィ技術の微細化に対する要求が高まっている。既に、フォトリソグラフィ技術の微細化への対応の一環として、リソグラフィの露光方式においては、従来の波長が193nmのArFエキシマレーザー光を用いた露光から、波長が13.5nmのEUV領域の光を用いた露光に置き換わりつつある。EUVリソグラフィは光源波長が短く光吸収性が非常に高いため、真空中で行われる必要がある。またEUVの波長領域においては、ほとんどの物質の屈折率は1よりもわずかに小さい値である。このため、EUVリソグラフィにおいては従来から用いられてきた透過型の屈折光学系を使用することができず、反射光学系を使用することとなる。従って、原版となるフォトマスク(以下、マスクと呼ぶ)も、従来の透過型のマスクは使用できないため、反射型のマスクを使用する必要がある。
 (反射型マスクとブランク構造の説明)
 このような反射型マスクは、反射型マスクブランクと呼ばれる基板を元に作成される。反射型マスクブランクは、低熱膨張基板の上に、露光光源波長に対して高い反射率を示す多層反射層と、露光光源波長を吸収する吸収層とが順次形成されており、更に基板の裏面には露光機内における静電チャック固定のための裏面導電膜が形成されている。また、多層反射層と、吸収層の間に緩衝層を有する構造を持つ反射型マスクもある。反射型マスクブランクから反射型マスクへ加工する際には、EBリソグラフィとエッチング技術とにより吸収層を部分的に除去し、緩衝層を有する構造の場合はこれも同じく除去し、吸収部と反射部とからなる回路パターンを形成する。このように作製された反射型マスクによって反射された光像が反射光学系を経て半導体基板上に転写される。
 (反射型マスクの吸収層の膜厚と反射率の説明)
 反射光学系を用いた露光方法では、透過型のビームスプリッターを利用した偏向が不可能である。従って、反射型マスクでは、マスクへの入射光と反射光が同軸上に設計できない欠点があり、入射光がマスク面に対して垂直方向から所定角度傾いた入射角(通常6°)で照射されるため、吸収層の膜厚が厚い場合、パターン自身の影が生じる。この影となった部分における反射強度は、影になっていない部分よりも小さいため、コントラストが低下し、転写パターンには、エッジ部のぼやけや設計寸法からのずれが生じてしまう。これはシャドーイングと呼ばれ、反射型マスクの原理的課題の一つである。
 このようなパターンエッジ部のぼやけや設計寸法からのずれを防ぐためには、吸収層の膜厚は小さくし、パターンの高さを低くすることが有効である。しかし、吸収層の膜厚が小さくなると、吸収層における遮光性が低下し、転写コントラストが低下し、転写パターンの精度の低下が生じる。つまり吸収層を薄くし過ぎると転写パターンの精度を保つための必要なコントラストが得られなくなってしまう。
 以上述べたように吸収層の膜厚は厚すぎても薄すぎても問題になるので、現在は概ね50~90nmの間になっており、EUV光(極端紫外光)の吸収層での反射率は0.5~2%程度である。
 (隣接するチップの多重露光の説明)
 一方、反射型マスクを用いてシリコンウェハのような半導体基板上に転写回路パターンを形成する際、一枚の半導体基板上には複数の回路パターンのチップが形成される。隣接するチップ間において、チップ外周部が重なる領域が存在する場合がある。これはウェハ1枚あたりに取れるチップを出来るだけ増加させたいという生産性向上のために、チップを高密度に配置するためである。この場合、チップ外周部が重なる領域については複数回(最大で4回)に渡り露光(多重露光)されることになる。この転写パターンのチップ外周部は、反射型マスクの外周部が対応しており、通常、反射型マスク上の外周部は、吸収層が形成された部分である。しかしながら、上述したように吸収層上でのEUV光の反射率は、0.5~2%程度あるために、多重露光によりチップ外周部が感光してしまう問題があった。このため、マスク上のチップ外周部に通常の吸収層よりもEUV光の遮光性が高く、反射率が0.3%以下となる領域(以下、遮光枠と呼ぶ)を設ける必要性が出てきた。
 このような問題を解決するために、反射型マスクの吸収層から多層反射層までを掘り込んだ溝を形成することや、回路パターン領域の吸収膜の膜厚よりも厚い膜を形成することや、反射型マスク上にレーザ照射もしくはイオン注入することで多層反射層の反射率を低下させることにより、露光光源波長に対する遮光性の高い遮光枠を設けた反射型マスクが提案されている(例えば、特許文献1参照)。
特開2009-212220号公報
 しかしながら、上記特許文献1が開示する吸収層と多層反射層を単に掘り込んだだけの遮光枠では、次のような問題が生じる。これについて図を用いて詳しく説明する。図12に、従来の反射型マスク901の概略断面図を示す。図23に示す遮光枠11の大部分はEUV反射率をほぼゼロにすることが出来るが、遮光枠エッジ付近のEUV反射率(903、904)は、遮光枠を形成する前よりも逆に高くなってしまう問題が発生する。何故なら、多層反射層2を単に掘り込む方法では、EUV反射率の低減に貢献していた吸収層4も除去する必要があるため、遮光枠エッジ付近では、EUV光の入射と反射の行程で、吸収層4を1回しか通らない場合が発生するためである。例えば、遮光枠領域側から斜め入射されたEUV光は、吸収層を通らずに多層反射層側壁から入り、多層反射層で反射されたEUV光が1度だけ吸収層を通りウェハ側に漏れたり(図23の904)、また、斜め入射されたEUV光が最初に吸収層を通っても、遮光枠エッジ付近では、多層反射層で反射されたEUV光の一部が多層反射層側壁を抜けてウェハ側に漏れる(図23の903)ためである。つまり、EUV反射率を低下させるための遮光枠11によって、遮光枠エッジ部分では、逆にEUV反射光の漏れが生じ、EUV反射率を上げてしまう問題を発生させ、遮光性能の低下を招いてしまう。
 また、多重露光においては、EUV光による露光だけでは無く、アウトオブバンド光(以下、OoB(Out of Band)光)と呼ばれる、13.5nm帯以外の真空紫外線領域から近赤外線領域の光によっても同様に、チップ外周部が感光してしまう問題があった。これらOoBの光はマスクのEUV吸収層(主材料にはタンタル(Ta)を含む物質が多く用いられる)で反射し、ウェハに放射される。そのため、13.5nm以外の様々な波長の光がウェハ上のレジストに照射され感光し、チップ境界領域近傍のパターン寸法のずれなどの悪影響を及ぼしていた。
 しかしながら、上記特許文献1が開示する方法では、マスクパターン作成後の遮光枠の領域についての多層反射層の掘り込みでは、材質の異なるモリブデン(Mo)とシリコン(Si)の合計80層を加工する必要があり、ドライエッチングにおいて実現させるには非常に複雑な条件となっていた。また、メインパターンのエッチングとは別に、2度に分けてリソグラフィとエッチングを行う必要があり、スループットが悪化するという不都合があった。
 また、上記特許文献1が開示する方法により、吸収層および多層反射層をすべて掘り込み除去して基板表面を露呈させて遮光枠を形成した場合でも、基板の裏面には導電膜が設けられている。導電膜は、主材料にはクロム(Cr)を含む物質が多く用いられており、マスク表面から入ったOoB光は、基板を透過し、裏面の導電膜にて反射し、再び基板を透過し、マスク表面に戻ってくることで、悪影響を及ぼすという不都合があった。
 またマスクパターン作製後の多層反射層の掘り込みはSiとMoを交互に設けて一組の層としたとき、40層を加工する必要があり、上層の吸収層を除去した後に、多層反射層を除去することから、多層反射層が僅か数層残留した場合は、逆に反射率を高くすることが懸念される。
 また、反射型マスク上にレーザ照射もしくはイオン注入することで遮光枠を形成する場合、多層反射膜以外によるレーザ光もしくはイオンの損失があるため、この損失分を考慮したレーザ光もしくはイオンを照射しなくてはならない。また多層反射層以外の層にはレーザ光もしくはイオンの照射によるダメージが生じ、吸収層の露光光源波長の吸収率の低下が懸念される。
 本発明は、上記問題点に鑑みてなされたものであり、遮光性能の高い遮光枠を有する反射型マスクおよびその製造方法を提供することを目的とするものである。
 また、本発明は、OoB光の影響を軽減した遮光枠を有する、製造しやすい反射型マスクおよびその製造方法を提供することを目的とするものである。
 また、本発明は、多層反射膜を残留させることなく、且つ容易に製造しやすく、高い遮光性を有する反射型マスクおよびその製造方法を提供することを目的とする。
 本発明は、基板と、基板の一面の表面に形成された多層反射層と、多層反射層の上に形成された保護層と、保護層の上に形成された吸収層を備え、吸収層に形成された回路パターン領域の外側の少なくとも一部に、吸収層および保護層および多層反射層が除去され、多層反射層の遮光枠内の開口幅を、その上層に位置する吸収層の開口幅よりも広くした遮光枠を有する、反射型マスクである。
 また、本発明は、反射型マスクの製造方法であって、基板の一面の上に多層反射層を形成する工程と、多層反射層の上に保護層を形成する工程と、保護層の上に吸収層を形成する工程と、反射層に回路パターンを形成する工程と、回路パターンの外側の枠状領域の多層反射層と保護層と吸収層とを基板の一面が露呈するまで、ドライエッチングもしくはウェットエッチングによって除去し、多層反射層の開口幅を、その上層に位置する吸収層の開口幅よりも広くした遮光枠を形成する工程とを含む。
 また、上述の反射型マスクの製造方法の、基板の一面の上に多層反射層を形成する工程において、石英(SiO2)を主成分とし酸化チタン(TiO2)を含む材料で形成された基板上の一部に、シリコン(Si)を主成分とした酸化膜(SiO)もしくは窒化膜(SiN)又は、Al膜で犠牲膜を形成し、その後、基板上に、モリブデン(Mo)と珪素(Si)を材料とし、交互に複数積層した多層構造で形成された、露光光を反射する多層反射層を形成し、吸収層を形成する工程において、多層反射層上に、タンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料で形成された単層構造、または、タンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料が積層され、最上層がタンタル(Ta)の酸化物、窒化物、酸窒化物または珪素(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料が積層された積層構造によって露光光を吸収する吸収層を形成し、遮光枠を形成する工程において、犠牲膜が形成された領域に、遮光枠が設けられる。
 本発明によれば、多層反射層を除去し遮光枠を形成したEUVマスクにおいて、遮光枠エッジ付近でのEUV光の反射をほぼゼロにまで低減できるため、高い遮光性能を有する反射型マスクとその製造方法を提供でき、高い精度の転写パターンを形成できるという効果を奏する。また、OoB光の影響を軽減した遮光枠を有する、製造しやすい反射型マスクおよびその製造方法を提供できる。さらに、多層反射膜を残留させることなく、高い遮光性を有する反射型マスクおよびその製造方法を提供できる。
図1は、本発明の第1の実施形態に係る反射型マスクの構造の概略断面図と概略平面図である。 図2は、本発明の第1の実施形態の実施例に係る反射型マスクの作製工程(パターン形成まで)を示す概略断面図である。 図3は、本発明の第1の実施形態の実施例に係る反射型マスク(パターン形成まで)を示す概略平面図である。 図4は、本発明の第1の実施形態の実施例に係る反射型マスクの作製工程(遮光枠形成)を示す概略断面図である。 図5は、本発明の第1の実施形態の実施例に係る反射型マスクを示す概略図である。 図6は、本発明の第1の実施形態の実施例に係る反射型マスクの作製工程(遮光枠形成)を示す概略断面図である。 図7は、本発明の第1の実施形態の実施例に係る反射型マスクと従来の反射型マスクを示す概略図である。 図8は、本発明の第1の実施形態の実施例に係る反射型マスクの作製工程(遮光枠形成)を示す概略断面図である。 図9は、本発明の第1の実施形態の実施例に係る反射型マスクと従来の反射型マスクを示す概略図である。 図10は、本発明の第1の実施形態の実施例に係る反射型マスクの遮光枠部のEUV反射率の測定個所を示す概略図である。 図11は、本発明の第1の実施形態の実施例に係る反射型マスクの遮光枠部のEUV反射率のデータを示す概略図である。 図12は、本発明の第2の実施形態に係る反射型マスクの構造を示す概略断面図である。 図13は、本発明の第2の実施形態に係る反射型マスクの要部を示す概略図である。 図14は、本発明の第2の実施形態に係る反射型マスクの構造を示す概略断面図である。 図15は、本発明の第2の実施形態に係る反射型マスクの要部を示す概略図である。 図16は、本発明の第2の実施形態の実施例に係る反射型マスクの概略図である。 図17は、本発明の第2の実施形態の実施例に係る反射型マスクの概略図である。 図18は、本発明の第3の実施形態に係る反射型マスクブランクを説明する断面図である。 図19は、本発明の第3の実施形態に係る反射型マスクを説明する平面図である。 図20は、本発明の第3の実施形態に係る反射型マスクの製造方法を説明する図である。 図21は、本発明の第3の実施形態に係る反射型マスクの製造方法の実施例を示す断面図である。 図22は、本発明の第3の実施形態に係る反射型マスクの製造方法の実施例を示す断面図である。 図23は、従来の反射型マスクの遮光枠構造の課題を示す概略断面図である。
(第1の実施形態)
 以下に本発明の第1の実施形態について図面を参照しながら説明する。
<反射型マスクの構成>
 まず、本発明の反射型マスクの構成について説明する。図1(a)、(b)、(c)は、本発明の反射型マスクの構造の概略断面図で、図1(d)は、図1(a)、(b)、(c)を上から見た概略平面図である。即ち、本実施形態の反射型マスクの構成は、101、102、103のいずれでもよい。
 図1(a)、(b)、(c)に示す反射型マスク101、102、103は、いずれも基板1の表面に、多層反射層2、保護層3、吸収層4が順次形成されている。基板の裏面には導電膜5が形成された構造となっている。保護層3と吸収層4の間には、緩衝層が有ってもよい。緩衝層は、吸収層4のマスクパターン修正時に、下地の保護層3にダメージを与えないために設けられた層である。
 反射型マスク101、102、103は、吸収層4が加工されたパターン領域10と、例えば、その外周部の少なくとも一部に吸収層4、保護層3、多層反射層2、(緩衝層がある場合は緩衝層も)が除去された遮光枠11を有する。
 反射型マスク101は、図1(a)に示すように、遮光枠11内の多層反射層2の開口幅が、その上層に位置する吸収層4の開口幅よりも、多層反射層2の膜厚の少なくとも21%以上広くなっている。多層反射層2の膜厚の21%とは、多層反射層の膜厚×tan(6度)×2を意味している。例えば、最も一般的な多層反射層の膜厚280nmの場合は、吸収層4の開口幅Aよりも多層反射層2の開口幅Bが、少なくとも、280nm×0.21=58.8nm以上、広くなっていれば良い。
 なお、ここでは、EUV露光のEUV光入射角(光の進行方向と反射型マスク面に垂直な方向とがなす角)θが6度以内であることを想定しているが、EUV光入射角θが6度以上である場合も、EUV光入射角θに応じて、上述のように、多層反射層の膜厚×tanθ×2の値を計算して、多層反射層2の開口幅を吸収層4の開口幅よりこの値以上大きくすることにより、多層反射層2の開口幅を決定することができる。
 また、反射型マスク102は、図1(b)のように多層反射層2が-6度以上の逆テーパの(基板(マスク下部)に向かうにしたがって多層反射層2の幅が小さくなる)側壁角度を有する形状になっている。
 また、反射型マスク103は、図1(c)のように多層反射層2が順テーパ形状(吸収膜(マスク上部)に向かうにしたがって多層反射層2の幅が小さくなる)となっている。また、これらに限らず、ボーイング形状(等方的エッチングによく見られる丸みのある形状)でも良く、多層反射層2の裾部(基板1に接した部分)の開口幅が、多層反射層2の膜厚の少なくとも21%以上広くなっていればよい。
 反射型マスク101、102、103は、いずれもその構造によって、6度入射のEUV露光のおける遮光枠のエッジ付近でのEUV反射光の漏れが生じることはない。膜厚の21%以上の開口幅と-6度の逆テーパ形状と規定した値については、実験によりEUV反射光の漏れが生じないことを確認した値である。
<反射型マスクの構成の詳細:多層反射層、保護層、緩衝層>
 図1(a)、(b)、(c)に示す多層反射層2は、EUV光に対して60%程度の反射率を達成できるように設計されており、MoとSiが交互に40~50ペア積層した積層膜で、さらに最上層の保護層3は2~3nm厚のルテニウム(Ru)あるいは厚さ10nm程度のシリコン(Si)で構成されている。Ru層の下に隣接する層はSi層である。MoやSiが使われている理由は、EUV光に対する吸収(消衰係数)が小さく、且つMoとSiのEUV光での屈折率差が大きいために、SiとMoの界面での反射率を高く出来るためである。保護層3がRuの場合は、吸収層4の加工におけるストッパーやマスク洗浄時の薬液に対する保護層としての役割を果たしている。保護層3がSiの場合は、吸収層4との間に、緩衝層が有る場合もある。緩衝層は、吸収層4のエッチングやパターン修正時に、緩衝層の下に隣接する多層反射層2の最上層であるSi層を保護するために設けられており、クロム(Cr)の窒素化合物(CrN)で構成されている。
<反射型マスクの構成の詳細:吸収層>
 図1(a)、(b)、(c)の吸収層4は、EUVに対して吸収率の高いタンタル(Ta)の窒素化合物(TaN)で構成されている。他の材料として、タンタルホウ素窒化物(TaBN)、タンタルシリコン(TaSi)、タンタル(Ta)や、それらの酸化物(TaBON、TaSiO、TaO)でも良い。
 図1(a)、(b)、(c)の吸収層4は、上層に波長190~260nmの紫外光に対して反射防止機能を有する低反射層を設けた2層構造から成る吸収層であっても良い。低反射層は、マスクの欠陥検査機の検査波長に対して、コントラストを高くし、検査性を向上させるためのものである。
 <反射型マスクの構成の詳細:導電膜>
図1(a)、(b)、(c)の導電膜5は、一般にはCrNで構成されているが、導電性があれば良いので、金属材料からなる材料であれば良い。図1(a)、(b)、(c)では導電膜5を有するかたちで記載したが、導電膜5を有さないマスクブランク及びマスクとしても良い。
<反射型マスクの構成の詳細:多層反射層の掘り込み>
 本発明の反射型マスクの遮光枠の形成方法について説明する。まずフォトリソグラフィもしくは電子線リソグラフィによって、遮光枠部のみが開口したレジストパターンを形成する。次に、フッ素系もしくは塩素系ガス(あるいはその両方)を用いたドライエッチングによって、レジストパターンの開口部の吸収層4と保護層3を除去する。次いで、多層反射層2を、フッ素系ガスを用いたドライエッチングか、アルカリ性溶液あるは酸性溶液を用いたウェットエッチングによって、多層反射層2を貫通・除去する。
 ドライエッチングによって、多層反射層2を貫通・除去する際に、フッ素系ガスを用いるのは、多層反射層2の材料であるMoとSiの両方に対して、エッチング性を有するためである。この際に用いるフッ素系ガスは、CF、C、C、C、CHF、SF、ClFが挙げられる。
 ウェットエッチングによって、多層反射層2を貫通・除去する際のエッチング液には、多層反射層の材料であるMoとSiのエッチングに適している必要がある。例えば、アルカリ性溶液としては、TMAH(水酸化テトラメチルアンモニウム)、KOH(水酸化カリウム)、EDP(エチレンジアミンピロカテコール)が適している。酸性溶液としては、硝酸とリン酸の混合液が適しているが、これにフッ酸、硫酸、酢酸を加えても良い。
 上述の多層反射層2の貫通・除去の処理時に、上述の多層反射層2の側壁の各形状を形成することも可能であるが、多層反射層2の貫通・除去処理後に、別途、上述の多層反射層の側壁の各形状を与えるための、ドライエッチング処理、もしくはウェットエッチング処理を追加しても良い。
 本実施形態の反射型マスク101、102、103においては、パターン領域10のパターン形成は、遮光枠形成後であっても、遮光枠形成前であっても構わない。
 このようにして、多層反射層を除去し遮光枠を形成したEUVマスクにおいて、遮光枠エッジ付近でのEUV光の反射をほぼゼロにまで低減できるため、高い遮光性能を有する反射型マスクを得ることができる。
(実施例1-1)
 以下、本実施形態の反射型マスクの製造方法の第1の実施例を説明する。まず、図2(a)に反射型マスクブランク100を用意した。反射型マスクブランク100は、基板1の上に、波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMoとSiの40ペアの多層反射層2が、その上に2.5nm厚のRuの保護層3が、更にその上に70nm厚のTaSiからなる吸収層4が、順次形成されている。
 本反射型マスクブランク100に対し、ポジ型化学増幅レジスト9(FEP171:富士フイルムエレクトロニクスマテリアルズ)を300nmの膜厚で塗布し(図2(b))、電子線描画機(JBX9000:日本電子)によって描画後、110℃、10分の露光後ベーク(Post Exposure Bake:PEB)およびスプレー現像(SFG3000:シグマメルテック)により、レジスト部分にレジストパターンを形成した(図2(c))。
 次いで、ドライエッチング装置を用いて、CFプラズマとClプラズマにより、吸収層4をエッチングし(図2(d))、レジスト剥離洗浄することで、図2(e)に示す評価パターンを有する反射型マスク104を作製した。評価パターンは、寸法200nmの1:1のライン&スペースパターンをマスク中心に配置した。パターン領域の大きさは、10cm×10cmとした。反射型マスク104の上面図を図3に示す。
 次いで、上述の評価パターンを有する反射型マスク104のパターン領域10に対して、遮光枠11を形成する工程を行った。反射型マスク104(図4(a))にi線レジスト29を500nmの膜厚で塗布し(図4(b))、そこへi線描画機(ALTA)により描画、現像を行うことにより、後に遮光枠11となる領域を抜いたレジストパターンを形成した(図4(c))。このときレジストパターンの開口幅は5mmとし、マスク中心部の10cm×10cmのメインパターン領域から3μm(マイクロメートル)の距離に配置した。
 次いで、ドライエッチング装置を用いてCHFプラズマ(ドライエッチング装置内の圧力50mTorr、ICP(誘導結合プラズマ)パワー500W、RIE(反応性イオンエッチング)パワー2000W、CHF:流量20sccm、処理時間6分、これらは、以下の表記で同じ)により、上記レジストの開口部の吸収層4と多層反射層2とを垂直性ドライエッチングで貫通・除去し(図4(d)、(e))、ついで、硝酸、リン酸、フッ酸の混合水溶液によるウェットエッチングで4分間処理することでMoとSiの多層反射層にサイドエッチングを与え、図4(f)に示すような形状を得た。
 最後に、硫酸系の剥離液とアンモニア過酸化水素水により、レジスト剥離・洗浄を実施し、ドライエッチングとウェットエッチングで残ったレジストを除去した(図4(g))。図5(a)、(b)に本実施例で作製し、完成した反射型マスク101を示す。
 このようにして作製した遮光枠11の一部を断裁して、電子顕微鏡にて断面観察したところ、片側で約40nm(両側で約80nm)程度のサイドエッチング(=多層反射層2の膜厚の28.6%に相当)が、多層反射層に形成されていることを確認した。
(実施例1-2)
 本実施形態の反射型マスクの製造方法の第2の実施例を説明する。メインパターン部の形成方法は、実施例1と同じ方法である。
 本実施例では、反射型マスク104のパターン領域10(図6(a))に対して、遮光枠11を形成する工程を行った。反射型マスク104にi線レジスト29を500nmの膜厚で塗布し(図6(b))、そこへi線描画機(ALTA)により描画、現像を行うことによりレジスト部分に、後に遮光枠11となる領域を抜いたレジストパターンを形成した(図6(c))。このときレジストパターンの開口幅は5mmとし、マスク中心部の10cm×10cmのメインパターン領域から3μmの距離に配置した。
 次いで、CHFプラズマ(圧力15mTorr、ICP300W、RIE100W、CHF:20sccm、処理時間1分)によるドライエッチングにて、吸収層を除去した(図6(d))。
 次いで、ドライエッチング装置を用いてCFプラズマ(圧力50mTorr、ICP500W、RIE50W、CF:20sccm、処理時間12分)により、上記レジストの開口部の多層反射層2を貫通すると同時に逆テーパ形状を与え、図6(e)に示すような形状を形成した。次いで、硫酸系の剥離液とアンモニア過酸化水素水により、レジスト剥離・洗浄を実施し、ドライエッチングで残ったレジストを除去した(図6(f))。図7(a)、(b)に本実施例で作製し、完成した反射型マスク102を示す。
 このようにして作製した遮光枠11の一部を断裁して、電子顕微鏡にて断面観察したところ、-12度の逆テーパ形状であることを確認した。
(実施例1-3)
 実施例1、2とは別の、本発明の反射型マスクの製造方法の実施例を説明する。メインパターン部の形成方法は、実施例1と同じ方法である。
 反射型マスク104のパターン領域10に(図8(a))対して、遮光枠を形成する工程を行った。反射型マスク104にi線レジスト29を500nmの膜厚で塗布し(図8(b))、そこへi線描画機(ALTA)により描画、現像を行うことにより、レジスト部分に、後に遮光枠となる領域を抜いたレジストパターンを形成した(図8(c))。このときレジストパターンの開口幅は5mmとし、マスク中心部の10cm×10cmのメインパターン領域から3μmの距離に配置した。次いで、CHFプラズマ(圧力15mTorr、ICP300W、RIE100W、CHF:20sccm、処理時間1分)によるドライエッチングにて、吸収層を除去した(図8(d))。
 次いで、ドライエッチング装置を用いてSFプラズマ(圧力50mTorr、ICP800W、RIE25W、SF:40sccm、処理時間8分)により、上記レジストの開口部の多層反射層2を貫通およびサイドエッチングを与え、図8(e)に示すような形状を形成した。次いで、硫酸系の剥離液とアンモニア過酸化水素水により、レジスト剥離・洗浄を実施し、ドライエッチングで残ったレジストを除去した(図8(f))。図9(a)、(b)に本実施例で作製し、完成した反射型マスク103を示す。
 このようにして作製した遮光枠の一部を断裁して、電子顕微鏡にて断面観察したところ、多層反射層2の裾部(基板1に接した部分)の開口幅が、片側で約46nm(両側で約92nm)程度のサイドエッチング(=多層反射層の膜厚の32.8%に相当)が、多層反射層に形成されていることを確認した。
 以上説明した、各実施例の反射型マスクと、従来の反射型マスクの遮光枠部のEUV反射率を測定した。測定個所20は、図10に示すように、各反射型マスクの遮光枠部分よりもパターン領域10側の場所から、遮光枠部よりもマスク外延部(額縁様部分)側の間の複数点とした。
 その結果、従来の反射型マスクの遮光枠部では、遮光枠のエッジ付近でEUV反射率が高い値を示したが、各実施例の反射型マスクでは、遮光枠のエッジ付近で高いEUV反射率は見られなかった。この結果を図11に示す。このように、遮光性能の高い遮光枠を有する反射型マスクを作製することができた。
(第2の実施形態)
 以下に本発明の第2の実施形態について図面を参照しながら説明する。
<回路パターン形成前の反射型マスクの構成>
 まず、回路パターン形成前の反射型マスクの構成について図12および図13を参照して説明する。図12(a)~(d)は、本発明の実施形態である反射型マスク201、202、203、204の略中央部分の概略断面図を示している。図13(a)は、図12(a)~(d)に示す反射型マスク201、202、203、204を一面側から見た概略図であり、図13(b)は反射型マスク201、202を他面側から見た概略図であり、図13(c)は反射型マスク203、204を他面側から見た概略図である。なお、以下の説明で、反射型マスク201、202、203、204の基板1の回路パターンが形成される側の面を一面、この反対面を他面と呼ぶものとする。
 図12(a)に示す反射型マスク201には、基板1の一面の上に多層反射層(光反射層)2が形成され、多層反射層2の上には吸収層(光吸収層)4が形成されていて、基板1の他面には導電膜5が形成されている。
 図12(b)に示す反射型マスク202は、反射型マスク201の多層反射層2と吸収層4との間に緩衝層6を追加した構成のものであり、基板1の一面に多層反射層2、緩衝層6、吸収層4が順次形成されている。また、基板1の他面には導電膜5が形成されている。
 図12(c)、(d)に示す反射型マスク203、204は、反射型マスク201、202の他面から導電膜5が削除された構成に形成されている。
 図13(a)に示すように、反射型マスク201、202、203、204の一面には吸収層領域80と額縁形状の枠状領域90とが形成されている。枠状領域90においては、図12に示すように、多層反射層2と吸収層4、または、多層反射層2と緩衝層6と吸収層4とが溝状に除去され遮光枠11が形成されている。遮光枠11の底部では、基板1の一面が露呈され、剥き出しになっている。
 図13(b)に示すように、反射型マスク201、202の他面には導電膜5と額縁形状の導電膜除去領域7とが形成されている。導電膜除去領域7においては、図12(a)、(b)に示すように、枠状領域90の導電膜5が溝状に除去されている。導電膜除去領域7の底部では、基板1の他面が露呈され、剥き出しになっている。図13(c)に示すように、反射型マスク203、204の他面には導電膜5は設けられておらず、基板1の他面全面が露呈され、剥き出しになっている。
 図12および図13に示す遮光枠11と導電膜除去領域7を形成する方法について説明する。本実施形態では、YAGレーザー(1064nmの4次高調波266nmを使用)による波長が短く高エネルギーなレーザー光を用いる。このレーザー光は、基板1は透過するが、多層反射層2と吸収層4、緩衝層6や導電膜5は非透過性である。
 まず、図12(a)において、反射型マスク201の基板1の他面全面に導電膜5を形成し、次に、基板1の一面全面に多層反射層2と吸収層4とを形成する。次に、一面側または他面側から、枠状領域90の範囲にレーザー光を照射する。すると、多層反射層2と吸収層4と導電膜5とが一括して除去され、遮光枠11と導電膜除去領域7とが形成される。
 図12(b)、(c)、(d)における、反射型マスク202、203、204の場合も、同様にレーザー光の照射により、多層反射層2と緩衝層6と吸収層4と導電膜5、または多層反射層2と吸収層4、または多層反射層2と緩衝層6と吸収層4とが一括して除去され、遮光枠11と導電膜除去領域7、または遮光枠11が形成される。
 なお、この実施形態の説明では、遮光枠11と導電膜除去領域7との形成を、回路パターン形成前に行ったが、形成後でもよい。また、レーザー光として、YAGレーザーを用いたが、COレーザーなどを用いてもよい。
 以上のように、図12(a)、(b)に示す反射型マスク201、202では、遮光枠11は溝状で、底部では基板1の一面が露呈されており、基板1の裏側にも導電膜除去領域7が設けられ基板1の他面が露呈されているので、遮光枠11の底部から基板を透過したOoB光が、他面の導電膜にて反射して、再び基板を透過し、マスク表面に戻ってくるということがなくなり、OoB光の影響を軽減することができる。
 また、図12(c)、(d)に示す反射型マスク203、204の場合では、他面には導電膜5は設けられておらず、基板1の他面全面が露呈され、剥き出しになっているので、遮光枠11の底部から基板を透過したOoB光が、他面の導電膜にて反射して、再び基板1を透過し、マスク表面に戻ってくるということがなくなり、OoB光の影響を軽減することができる。なお、この場合、反射型マスク203、204は図示しない露光機内で真空チャックなどで固定される。
 また、多層反射層2と吸収層4と導電膜5などをレーザー照射で一括して除去し、遮光枠11と導電膜除去領域7を形成する事から、ドライエッチングなどで掘り込む方法よりもスループットが高いものとなる。また、基板1の表裏を同時にレーザーで除去出来る事から、表裏での位置精度を高く、かつOoBの影響を非常に小さくすることができる。これらのことから、半導体基板上に転写回路パターンを形成する際に、高い精度で転写パターンを形成ができる。
<反射型マスクの構成の詳細:多層反射層>
 図12(a)、(c)の多層反射層2は、第1の実施形態で説明した多層反射層2において、とくに、最上層をRuで構成したものである。最上層のRuは、吸収層の加工におけるストッパーやマスク洗浄時の薬液に対する保護の役割を果たしているため、保護層としてとらえることができる。
 図12(b)、(d)の多層反射層2は、第1の実施形態で説明した多層反射層2において、とくに、最上層をSiで構成したものである。最上層のSiは、上述したRuと同様の役割を果たしているため、保護層としてとらえることができる。
<反射型マスクの構成の詳細:緩衝層>
 図12(b)、(d)の緩衝層6は、第1の実施形態で説明した緩衝層と同様であり、吸収層4のエッチングやパターン修正時に、緩衝層6の下に隣接する多層反射層2の最上層であるSi層を保護するために設けられており、クロム(Cr)の窒素化合物(CrN)で構成されている。
<反射型マスクの構成の詳細:吸収層>
 図12(a)~(d)の吸収層4は、第1の実施形態で説明した吸収層4と同様である。
<反射型マスクの構成の詳細:導電膜>
 図12(a)、(b)の導電膜5は、第1の実施形態で説明した導電膜5と同様である。
<回路パターン付き反射型マスクの説明>
 次に、上述した反射型マスクに回路パターンを形成した、回路パターン付き反射型マスクについて説明する。図14(a)~(d)は、反射型マスク201、202、203、204に回路パターン85を形成した回路パターン付き反射型マスク205、206、207、208の略中央部分の概略断面図を示している。図15(a)は、回路パターン付き反射型マスク205、206、207、208を一面側から見た概略図である。図15(b)は回路パターン付き反射型マスク205、206を他面側から見た概略図であり、図15(c)は反射型マスク207、208を他面側から見た概略図である。
 いずれも、遮光枠11の内側に位置する多層反射層2の上部の吸収層4、または吸収層4および緩衝層6を掘り込むことによって、回路パターン85を形成したものである。本実施形態の場合、既に遮光枠11や導電膜除去領域7は形成されているので、回路パターン85を形成することにより、EUV光に対する反射率が吸収層領域よりも充分に小さい枠状領域90を有する反射型マスクを得る。
<回路パターンを形成する方法>
 それでは、回路パターン85を形成する方法について詳説する。
 図12(a)、(c)に示す反射型マスク201または203の場合は、電子線リソグラフィによりレジストパターンを形成後、フルオロカーボンプラズマもしくは塩素プラズマ、必要な場合はその両方のプラズマより、吸収層4をエッチングする。その後、レジスト剥離洗浄することで、吸収層4に回路パターン85が形成された、図14(a)、(c)に示す回路パターン付き反射型マスク205または207を得る。
 図12(b)、(d)に示す反射型マスク202または204の場合も、まず同様に、電子線リソグラフィによりレジストパターンを形成後、フルオロカーボンプラズマもしくは塩素プラズマ、必要な場合はその両方のプラズマより吸収層4をエッチングする。次に、塩素プラズマにより緩衝層6をエッチングする。その後、レジスト剥離洗浄することで、吸収層4および緩衝層6に回路パターン85を形成された、図14(b)(d)に示す回路パターン付き反射型マスク206または208を得る。このようにして、EUV光に対する反射率が吸収層領域よりも充分に小さい枠状領域を有する反射型マスク205~208を得る。
 なお、本実施形態の反射型マスクの製造方法および反射型マスクは、上述した実施形態に限定されず、種々の変形が可能である。一例として、上述した実施形態では、基板1の一面の上に直接、多層反射層2を形成したが、この基板1と多層反射層2との間に、露光の際のチャージアップを防止するための導電膜を設けるようにしてもよい。
(実施例2)
 本実施形態を更に詳しく説明するために以下に実施例を挙げるが、本実施形態は実施例のみに限定されるものではない。なお、前述の実施形態と以下の実施例とでは、遮光枠11を形成する工程と回路パターン85を形成する工程との前後を逆としている。
<反射型マスクブランク製造方法>
 以下、本発明の反射型マスクの製造方法の実施例を説明する。まず、図16(a)に示すように、本実施例で使用する低熱膨張ガラス基板である基板1を用意した。次に、図16(b)に示すように、基板1の他面に静電チャッキング用の導電膜5を、スパッタリング装置により形成した。また、図16(c)に示すように、波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたモリブデン(Mo)とシリコン(Si)の40ペアの多層反射層2を基板1上に積層した。続いて図16(d)に示すように、TaNからなる吸収層4をスパッタリング装置により多層反射層2上に形成した。このときの吸収層4の膜厚は50nmとした。こうして、本発明に使用する反射型マスクブランク200を作製した。
<反射型マスクブランクへのパターニング>
 作製した図16(d)に示す反射型マスクブランク200に、電子線リソグラフィとドライエッチングとレジスト剥離洗浄を行い、吸収層4に回路パターン85を形成することにより、図17(a)に示す反射型マスク209を作製した。このとき、電子線リソグラフィには、化学増幅型ポジレジスト(富士フイルムエレクトニクスマテリアルズ製、製品番号:FEP-171)を用いて、描画機(日本電子製、製品番号:JBX9000)によってドーズ15μC/cm描画した後に、TMAH(水酸化テトラメチルアンモニウム)2.38%現像液によりレジストパターンを形成した。また、吸収層4のエッチングにはClの誘導結合型プラズマを適用した。
<遮光枠と導電膜除去領域の作成>
 作製した図17(a)に示す反射型マスク209に対して、一面側からYAGレーザーの4倍波レーザー光(266nm)を周波数2.5kHz、出力18Aで照射し、吸収層4と多層反射層2と導電膜5とを一括除去した。このようにして、図17(b)に示すように、遮光枠11とおよび導電膜除去領域7を有する反射型マスク205が完成した。
 作製した図17(b)に示す反射型マスク210の、吸収層側のEUV光(波長13.5nm)の反射率を測定した。その結果を表1に示す。遮光枠以外の領域での反射率が1.24%であるのに対し、枠状領域の反射率が0.00%となった。また、OoB光の影響を調べるため、真空紫外線から近赤外領域の波長における反射率を測定したところ、それぞれ0.00%となった。
Figure JPOXMLDOC01-appb-T000001
 図17(b)に示す反射型マスク210を用いて、13.5nmのEUVを光源とした露光を行い、半導体基板上に隣接した4つのチップを転写した。隣接したチップにおいて、作製した反射型マスク上の遮光枠に相当する領域の一部は重なっていたにもかかわらず、半導体基板上の当該領域におけるレジストの感光は確認されなかった。
 以上のように、本実施形態においては、基板を透過し、他面の導電膜にて反射し、再び基板を透過し、マスク表面に戻ってくることで悪影響を及ぼすOoB光の影響を軽減することができる。
 また、基板に対しては透過性を有し、光反射層と光吸収層と導電膜とに対しては非透過性のレーザー光を、基板の一面側または他面側から照射して、光反射層と光吸収層と導電膜とを除去することにより、遮光枠を形成する工程と導電膜除去領域を形成する工程とを一括して行うので、遮光枠を形成するためのドライエッチング等で掘り込む手法よりもスループットが高くなる。また、表裏を同時にレーザーで除去出来る事から、マスク表裏での位置精度を高くなり、かつ、ガラスと他面の導電膜界面でのOoBの影響を小さくできる。そして、この反射型マスクを用いることで、高い精度で転写パターンを形成できる。
 また、遮光枠を形成する工程と導電膜除去領域を形成する工程とを、回路パターンを形成する工程の前に行うので、必要に応じて、既に形成した遮光枠に合わせて調整しながら、回路パターンを形成することができる。
 また、遮光枠を形成する工程と導電膜除去領域を形成する工程とを、回路パターンを形成する工程の後に行うので、必要に応じて、既に形成した回路パターンに合わせて調整しながら、遮光枠を形成することができる。
 また、レーザー光には、YAGレーザーまたはCO2レーザーによるレーザー光を用いるので、波長が短く高エネルギーなレーザー光により、確実に多層反射層や吸収層、導電膜などを除去することができる。
 そして、EUVリソグラフィに用いられる反射型マスクに関し、上記のいずれかに記載の反射型マスクの製造方法によって製造されるので、高い精度の反射型マスクを得ることができる。
(第3の実施形態)
 以下に本発明の第3の実施形態について図面を参照しながら説明する。
 先ず、本実施形態の反射型マスクブランク300について説明する。図18(a)は反射型マスクブランク300の断面図である。このEUV光の波長は、例えば13.5nmである。基板1上部の一部に犠牲膜12と、基板一面上に、多層反射層2、保護層3、吸収層4を形成する。吸収層4は吸収層(単層)4a(図18(a))もしくは吸収層(積層)4b(図18(b))である。基板1の多層反射層2とは反対面側には導電膜5を形成する。犠牲膜12は遮光枠領域Aをエッチングして溝を形成した後、公知のプラズマCVDやスパッタリング法、イオンプレーティング法を用いて蒸着させることにより形成する。多層反射層2、保護層3、吸収層4、導電膜5の形成は公知のスパッタリング法を用いることができる。
 各構成の一例として、以下を挙げることができる。これらは反射型マスクブランクまたは反射型マスクに所望の性能・性質を与えるために公知の材料や技術を用いて適宜変更することができる。
 基板1は石英(SiO)を主成分とし酸化チタン(TiO)を含む材料で形成することができる。この他に合成石英やシリコンや金属などを使用することができる。基板1は、低熱膨張の材料を使用することが好ましい。
 以下の各膜(層)は、上述したように公知の蒸着方法を使用して形成することができる。
 犠牲膜12はシリコン(Si)を主成分とした酸化膜(SiO)もしくは窒化膜(SiN)又は、アルミニウム(Al)膜で形成することができる。犠牲膜12は、基板1の遮光枠領域とする任意の場所に、予め基板をNaOHやCsOH、HF、KOHなどのウェットエッチングや、フッ素系ガスを用いたガスプラズマによるドライエッチングによってエッチングしておき、そこに上記材料を用いて形成することができる。
 犠牲膜12の膜厚が1nmよりも薄い場合においては、成膜が困難となる可能性があるため好ましくない。また、1.5μmよりも厚い場合は、リフトオフに時間を要することとなるため、スループットの観点から好ましくない。そのため、犠牲膜12の膜厚は、1nm~1.5μmとすることが好ましい。
 多層反射層2は基板上にモリブデン(Mo)と珪素(Si)を材料とし、交互に複数積層(堆積)した多層構造で形成することができる。
 保護層3は単層構造もしくは積層構造とすることができ、多層反射膜上に形成され、ルテニウム(Ru)またはシリコン(Si)のいずれかを含む材料で形成することができる。保護層3を積層構造とする場合は、上記の単層構造の上に、最上層としてルテニウム(Ru)の酸化物、窒化物、酸窒化物や珪素(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料で形成することができる。また、単層構造と異なる組成の物質を用いる(単層がSi窒化物であれば、最上層はSi酸化物など)ことで積層構造とすることができる。
 吸収層4は、単層構造(4a)もしくは積層構造(4b)となっており、保護膜上に形成され、タンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料で形成され、積層構造の場合はその最上層がタンタル(Ta)の酸化物、窒化物、酸窒化物や珪素(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料で形成することができる。
 導電膜5は、多層反射層2とは反対の基板面に、クロム(Cr)またはタンタル(Ta)のいずれかの金属もしくはその酸化物、窒化物、酸窒化物のいずれかを含む材料で形成することができる。
 導電膜5は、反射型マスクブランクや反射型マスクを固定するためにチャッキングを行う場合に使用することができる。その他の固定方法を用いる場合は、必ずしも導電膜5を形成しなくても良い。
 上記のような反射型マスクブランクに、次のように反射型マスク形成を行なって、遮光枠11を形成することができる。
 次に、本実施形態のマスクの製造方法について説明する。図19は、図18で示した反射型マスクブランク300を用いた露光用反射型マスク301である。回路パターンCの外側に吸収層4、保護層3及び、多層反射層2の一部に遮光枠領域Bを形成した構造である。
 露光用反射型マスク301の製造方法を図20~図22に示す。図20は工程を、図21、図22に加工状態の断面図を示す。まず、図18に示した反射型マスクブランク300を用意し、吸収層4に遮光枠領域Bと回路パターンCとを形成する。電子線に反応を示す化学増幅系や非化学増幅系レジスト21を塗布(S1)し、所定の遮光枠領域Bと回路パターンCを描画(S2)する。
 その後アルカリ溶液などで現像(S3)を行い、形成したレジスト21のパターンをマスクにフッ素系ガスや塩素系ガスを用いたガスプラズマによるエッチング(S4)を行い、不要なレジスト21のパターンを酸素プラズマによる灰化や硫酸やオゾン水などの酸化薬液による分解、ないしは有機溶剤などで溶解除去(S5)する。
 その後必要に応じて酸・アルカリ系薬品やオゾンガスや水素ガスなどを溶解した超純水や有機アルカリ系薬品、界面活性剤などによる洗浄処理(S6)と遠心力を利用したスピン乾燥(S7)を行う。以上で遮光枠領域Bと回路パターンCが形成される。
 次に、遮光枠領域Bの保護層3と多層反射層2の部分を形成する。上記のマスクに、紫外線または電子線に反応を示すレジスト21を塗布する(S8)。次に遮光枠領域Bを露光または電子線で描画する(S9)。
 (S3)、(S4)と同様に、現像(S10)、エッチング(S11)を行い、遮光枠の一部を形成する。次いで、形成された掘り込み溝に、フッ化アンモニウム水溶液、フッ酸と硝酸を混合したもの、燐酸などによるウェットエッチング(S12)を行うことにより犠牲膜12を除去し、その上層の多層反射層2と保護層3と吸収層4とレジスト21とをリフトオフさせる。
 さらに、レジスト21の除去(S13)、洗浄(S14)、乾燥(S15)を行い遮光枠領域Bにおいて遮光枠11を完成する。エッチング工程(S11)ではまず保護層3の除去をフッ素系ガスプラズマを用い、多層反射層2は保護層3と同じくフッ素系ガスプラズマもしくは塩素ガス系プラズマを交互に用いる方法を行う。
 以上の工程により反射型マスク301が完成する。
 本実施形態によれば、遮光枠の形成において、基板に犠牲膜を設け、多層反射層の掘り込みの際、遮光枠の両側それぞれをドライエッチングした後、掘り込み溝にウェットエッチング液を満たすことで多層反射層の下層の犠牲膜を除去し、その上層の多層反射層から吸収層までをリフトオフさせることにより多層反射層を残留させることなく、且つ容易に、高い遮光性を有する反射型マスクの製造が可能となる。
 以上の各実施形態では、露光光を、一例として波長13.5nmのEUV光としたが、本発明は、他の波長であっても好適に適用できる。例えば、露光光の波長が5nm以上15nm以下の場合に好適に適用できる。
 また、以上の各実施形態を組み合わせることも可能である。例えば、第2の実施形態、または第3の実施形態の反射型マスクにおいて、適宜エッチング処理を行うことにより、第1の実施形態と同様、多層反射層の開口幅を、その上層に位置する吸収層の開口幅よりも広くすることができる。
 本発明は、反射型マスク等に有用である。
 1  基板
 2  多層反射層
 3  保護層
 4  吸収層
 5  導電膜
 6  緩衝層
 7  導電膜除去領域
 9、21、29  レジスト
 10  パターン領域
 11  遮光枠
 12  犠牲膜
 20  EUV反射率の測定個所
 80  吸収層領域
 85  回路パターン
 90  枠状領域
 100、200、300  反射型マスクブランク
 101、102、103、104、201、202、203、204、205、206、207、209、210、301、901  反射型マスク
 901  吸収層部でのEUV反射光
 902  遮光枠内部でのEUV反射光
 903、904  遮光枠エッジ部でのEUV反射光

Claims (19)

  1.  基板と、
     前記基板の一面の表面に形成された多層反射層と、
     前記多層反射層の上に形成された保護層と、
     前記保護層の上に形成された吸収層を備え、
     前記吸収層に形成された回路パターン領域の外側の少なくとも一部に、前記吸収層および前記保護層および前記多層反射層が除去され、前記多層反射層の遮光枠内の開口幅を、その上層に位置する前記吸収層の開口幅よりも広くした遮光枠を有する、反射型マスク。
  2.  前記多層反射層の遮光枠内の開口幅は、その上層に位置する前記吸収層の開口幅よりも、前記多層反射層の膜厚の21%以上広い、請求項1に記載の反射型マスク。
  3.  前記多層反射層の遮光枠内の側壁形状は、逆テーパ形状である、請求項1に記載の反射型マスク。
  4.  前記多層反射層の遮光枠内の側壁角度は、マスクに入射するEUV光が前記多層反射層の側壁に直接入射することの無い-6度以上である、請求項1に記載の反射型マスク。
  5.  少なくとも前記遮光枠が形成されていない領域において、前記基板の他面に、導電膜がさらに形成されている、請求項1~4のいずれかに記載の反射型マスク。
  6.  反射型マスクの製造方法であって、
     基板の一面の上に多層反射層を形成する工程と、
     多層反射層の上に吸収層を形成する工程と、
     前記多層反射層に回路パターンを形成する工程と、
     前記回路パターンの外側の枠状領域の前記多層反射層と前記吸収層とを前記基板の一面が露呈するまで、ドライエッチングもしくはウェットエッチングによって除去し、前記多層反射層の開口幅を、その上層に位置する前記吸収層の開口幅よりも広くした遮光枠を形成する工程とを含む、反射型マスクの製造方法。
  7.  前記遮光枠を形成する工程より先に、予め前記遮光枠内の前記多層反射層の貫通および除去を行う掘り込み工程をさらに含む、請求項6に記載の反射型マスクの製造方法。
  8.  前記遮光枠を形成する工程において、前記多層反射層に、フッ素原子を含むガスを用いてドライエッチングを行う、請求項6または7に記載の反射型マスクの製造方法。
  9.  前記フッ素原子を含むガスは、少なくともCF、C、C、C、CHF、SF、ClFのいずれかを含むガスである、請求項8に記載の反射型マスクの製造方法。
  10.  前記遮光枠を形成する工程において、前記多層反射層に、少なくとも硝酸、リン酸、フッ酸、硫酸、酢酸のいずれかを含むエッチング液を用いて、ウェットエッチングを行う、請求項6または7に記載の反射型マスクの製造方法。
  11.  前記基板の他面に導電膜を形成する工程と、
     前記遮光枠が形成された領域において、前記導電膜を前記基板の他面が露呈するまで除去してなる導電膜除去領域を形成する工程とをさらに備える、請求項6~10のいずれかに記載の反射型マスクの製造方法。
  12.  前記基板に対しては透過性を有し、前記多層反射層と前記吸収層と前記導電膜とに対しては非透過性のレーザー光を、前記基板の一面側または他面側から照射して、前記多層反射層と前記吸収層と前記導電膜とを除去することにより、前記遮光枠を形成する工程と前記導電膜除去領域を形成する工程とを一括して行うことを特徴とする、請求項11記載の反射型マスクの製造方法。
  13.  前記遮光枠を形成する工程と前記導電膜除去領域を形成する工程とを、前記回路パターンを形成する工程の前に行うことを特徴とする請求項12記載の反射型マスクの製造方法。
  14.  前記遮光枠を形成する工程と前記導電膜除去領域を形成する工程とを、回路パターンを形成する工程の後に行うことを特徴とする請求項12記載の反射型マスクの製造方法。
  15.  前記レーザー光には、YAGレーザーまたはCOレーザーによるレーザー光を用いることを特徴とする請求項12記載の反射型マスクの製造方法。
  16.  前記基板の一面の上に多層反射層を形成する工程において、
      石英(SiO)を主成分とし酸化チタン(TiO)を含む材料で形成された基板上の一部に、シリコン(Si)を主成分とした酸化膜(SiO)もしくは窒化膜(SiN)又は、Al膜で犠牲膜を形成し、
      その後、前記基板上に、モリブデン(Mo)と珪素(Si)を材料とし、交互に複数積層した多層構造で形成された、露光光を反射する多層反射層を形成し、
     前記吸収層を形成する工程において、
      前記多層反射層上に、タンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料で形成された単層構造、または、タンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料が積層され、最上層がタンタル(Ta)の酸化物、窒化物、酸窒化物または珪素(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料が積層された積層構造によって露光光を吸収する吸収層を形成し、
     前記遮光枠を形成する工程において、前記犠牲膜が形成された領域に、前記遮光枠が設けられる、請求項6~11のいずれかに記載の反射型マスクの製造方法。
  17.  前記多層反射膜と前記吸収膜との間に、ルテニウム(Ru)またはシリコン(Si)のいずれかを含む材料で形成された単層構造、または、ルテニウム(Ru)またはシリコン(Si)のいずれかを含む材料が積層され、最上層がルテニウム(Ru)の酸化物、窒化物、酸窒化物または珪素(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料が積層された積層構造によって前記多層反射膜を保護する保護層を形成する工程を含み、
     前記遮光枠を形成する工程において、前記保護層は、犠牲膜が形成された領域において除去される、請求項16に記載の反射型マスクの製造方法。
  18.  前記基板の多層反射膜とは反対側の面上に、少なくとも前記遮光枠が形成されない領域において、クロム(Cr)またはタンタル(Ta)のいずれかもしくはクロム(Cr)またはタンタル(Ta)の酸化物、窒化物、酸窒化物のいずれかを含む材料で形成された導電膜を形成する工程をさらに含む、請求項16または17に記載の反射型マスクの製造方法。
  19.  請求項6乃至18のいずれかに記載の反射型マスクの製造方法によって製造されたことを特徴とする反射型マスク。
     
PCT/JP2012/005309 2011-08-25 2012-08-24 反射型マスクおよびその製造方法 WO2013027412A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201280041142.1A CN103748660B (zh) 2011-08-25 2012-08-24 反射型掩模及其制造方法
EP12825834.0A EP2750164B1 (en) 2011-08-25 2012-08-24 Reflective mask and method for manufacturing same
KR1020147004690A KR101596177B1 (ko) 2011-08-25 2012-08-24 반사형 마스크 및 그 제조 방법
US14/187,885 US9285672B2 (en) 2011-08-25 2014-02-24 Reflective mask and method for manufacturing same

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2011184186A JP5754592B2 (ja) 2011-08-25 2011-08-25 反射型マスクの製造方法および反射型マスク
JP2011-184186 2011-08-25
JP2011-213243 2011-09-28
JP2011-213242 2011-09-28
JP2011213242A JP5786605B2 (ja) 2011-09-28 2011-09-28 フォトマスク
JP2011213243 2011-09-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/187,885 Continuation US9285672B2 (en) 2011-08-25 2014-02-24 Reflective mask and method for manufacturing same

Publications (1)

Publication Number Publication Date
WO2013027412A1 true WO2013027412A1 (ja) 2013-02-28

Family

ID=47746171

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/005309 WO2013027412A1 (ja) 2011-08-25 2012-08-24 反射型マスクおよびその製造方法

Country Status (6)

Country Link
US (1) US9285672B2 (ja)
EP (1) EP2750164B1 (ja)
KR (1) KR101596177B1 (ja)
CN (1) CN103748660B (ja)
TW (1) TWI563337B (ja)
WO (1) WO2013027412A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210335599A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Euv photomask and related methods

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014232844A (ja) * 2013-05-30 2014-12-11 凸版印刷株式会社 反射型マスクの製造方法
JP6316036B2 (ja) * 2014-03-14 2018-04-25 東芝メモリ株式会社 フォトマスクの製造方法
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
TWI564650B (zh) * 2015-01-30 2017-01-01 Wi-A Corp 雷射反射型遮罩製造方法
KR101582175B1 (ko) * 2015-03-17 2016-01-05 에이피시스템 주식회사 레이저 패터닝을 이용한 섀도우 마스크의 제조 장치 및 레이저 패터닝을 이용한 섀도우 마스크의 제조 방법
DE102016200814A1 (de) * 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
JP7325961B2 (ja) 2016-06-03 2023-08-15 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイス
USD844664S1 (en) * 2016-08-02 2019-04-02 Smule, Inc. Display screen or portion thereof with animated graphical user interface
DE102017104433A1 (de) * 2017-03-03 2018-09-06 HELLA GmbH & Co. KGaA Verfahren zur Herstellung eines Kunststoffbauteils mit einem Schichtverbund und einem angespritzten Kunststoffkörper
JP2019053229A (ja) * 2017-09-15 2019-04-04 東芝メモリ株式会社 露光用マスクおよびその製造方法
US10802394B2 (en) * 2017-11-21 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for discharging static charges on reticle
US11402742B1 (en) 2018-02-28 2022-08-02 Synopsys, Inc. Undercut EUV absorber reflective contrast enhancement
CN110658676B (zh) * 2018-06-29 2022-10-25 台湾积体电路制造股份有限公司 极紫外光微影光罩及其制造方法
US11249384B2 (en) * 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
CN111061129B (zh) * 2018-10-17 2022-11-01 台湾积体电路制造股份有限公司 光刻***及清洁光刻***的方法
US11454877B2 (en) 2018-10-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof
DE102020208185A1 (de) 2020-06-30 2021-12-30 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Einstellen eines Seitenwandwinkels eines Pattern-Elements einer fotolithographischen Maske

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120125A (ja) * 1991-11-12 1994-04-28 Hitachi Ltd 光学素子およびそれを用いた投影露光装置
JP2002353123A (ja) * 2001-05-29 2002-12-06 Toppan Printing Co Ltd 反射型投影露光マスク
JP2003270773A (ja) * 2002-03-14 2003-09-25 Fujitsu Ltd マスクパターン検査装置およびマスクパターン検査方法
JP2009147200A (ja) * 2007-12-17 2009-07-02 Toppan Printing Co Ltd 反射型フォトマスク、反射型フォトマスク製造方法
JP2009212220A (ja) 2008-03-03 2009-09-17 Toshiba Corp 反射型マスク及びその作製方法
JP2010141338A (ja) * 2008-12-11 2010-06-24 Samsung Electronics Co Ltd フォトマスク、フォトマスクの製造方法、及びプラズマエッチングチャンバシステム
JP2011151202A (ja) * 2010-01-21 2011-08-04 Dainippon Printing Co Ltd 遮光枠を有する反射型マスクおよびその製造方法
JP2011176127A (ja) * 2010-02-24 2011-09-08 Dainippon Printing Co Ltd 反射型マスクおよびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5485497A (en) 1991-11-12 1996-01-16 Hitachi, Ltd. Optical element and projection exposure apparatus employing the same
JP4372178B2 (ja) * 2007-04-27 2009-11-25 株式会社東芝 光反射型マスクと光反射型マスクの作製方法及び半導体装置の製造方法
CN101393387B (zh) * 2007-09-17 2010-11-10 中芯国际集成电路制造(上海)有限公司 掩膜板及其制造方法
JP4663749B2 (ja) * 2008-03-11 2011-04-06 大日本印刷株式会社 反射型マスクの検査方法および製造方法
JP5239762B2 (ja) * 2008-11-13 2013-07-17 大日本印刷株式会社 反射型マスク、および、反射型マスク製造方法
JP2011108942A (ja) 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
US8764995B2 (en) * 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120125A (ja) * 1991-11-12 1994-04-28 Hitachi Ltd 光学素子およびそれを用いた投影露光装置
JP2002353123A (ja) * 2001-05-29 2002-12-06 Toppan Printing Co Ltd 反射型投影露光マスク
JP2003270773A (ja) * 2002-03-14 2003-09-25 Fujitsu Ltd マスクパターン検査装置およびマスクパターン検査方法
JP2009147200A (ja) * 2007-12-17 2009-07-02 Toppan Printing Co Ltd 反射型フォトマスク、反射型フォトマスク製造方法
JP2009212220A (ja) 2008-03-03 2009-09-17 Toshiba Corp 反射型マスク及びその作製方法
JP2010141338A (ja) * 2008-12-11 2010-06-24 Samsung Electronics Co Ltd フォトマスク、フォトマスクの製造方法、及びプラズマエッチングチャンバシステム
JP2011151202A (ja) * 2010-01-21 2011-08-04 Dainippon Printing Co Ltd 遮光枠を有する反射型マスクおよびその製造方法
JP2011176127A (ja) * 2010-02-24 2011-09-08 Dainippon Printing Co Ltd 反射型マスクおよびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210335599A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Euv photomask and related methods

Also Published As

Publication number Publication date
US9285672B2 (en) 2016-03-15
CN103748660A (zh) 2014-04-23
KR20140042904A (ko) 2014-04-07
US20140170536A1 (en) 2014-06-19
TW201316117A (zh) 2013-04-16
TWI563337B (en) 2016-12-21
EP2750164A4 (en) 2015-09-02
EP2750164A1 (en) 2014-07-02
EP2750164B1 (en) 2018-08-01
KR101596177B1 (ko) 2016-02-19
CN103748660B (zh) 2016-11-23

Similar Documents

Publication Publication Date Title
WO2013027412A1 (ja) 反射型マスクおよびその製造方法
JP5953833B2 (ja) 反射型フォトマスクおよびその製造方法
JP5772135B2 (ja) 反射型マスクブランク及び反射型マスク
JP6287046B2 (ja) 反射型マスク及び反射型マスクブランク並びにその製造方法
WO2013046641A1 (ja) 反射型マスクブランク及び反射型マスク、その製造方法
JP5736900B2 (ja) 反射型露光用マスク
JP2013206936A (ja) 反射型マスクおよび反射型マスクの製造方法
JP2014090132A (ja) 反射型マスクおよびその製造方法
JP5990961B2 (ja) 反射型マスク
JP5970901B2 (ja) 反射型マスクおよび反射型マスクの製造方法
JP6225478B2 (ja) 反射型マスク
JP5884565B2 (ja) 反射型マスクおよびその製造方法
JP2014197628A (ja) Euv露光用マスクおよびeuv露光用マスクの製造方法
JP2013191663A (ja) 反射型マスクブランクおよび反射型マスク
JP2014183075A (ja) 反射型マスクおよびその製造方法
JP2014232844A (ja) 反射型マスクの製造方法
JP6260149B2 (ja) 反射型マスクブランクおよび反射型マスク
JP5742300B2 (ja) 反射型マスクブランク及びその製造方法、反射型マスク
US10372029B2 (en) Reflective mask, reflective mask blank, and manufacturing method therefor
JP6281205B2 (ja) 反射型マスク
JP6070109B2 (ja) 反射型マスクおよびその製造方法
JP6319368B2 (ja) 反射型フォトマスクおよびその製造方法
JP2018005108A (ja) 反射型フォトマスクブランクおよび反射型マスク
US9921465B2 (en) Reflective mask, reflective mask blank and manufacturing method therefor
JP5786605B2 (ja) フォトマスク

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12825834

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147004690

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE