WO2013046641A1 - 反射型マスクブランク及び反射型マスク、その製造方法 - Google Patents

反射型マスクブランク及び反射型マスク、その製造方法 Download PDF

Info

Publication number
WO2013046641A1
WO2013046641A1 PCT/JP2012/006087 JP2012006087W WO2013046641A1 WO 2013046641 A1 WO2013046641 A1 WO 2013046641A1 JP 2012006087 W JP2012006087 W JP 2012006087W WO 2013046641 A1 WO2013046641 A1 WO 2013046641A1
Authority
WO
WIPO (PCT)
Prior art keywords
reflective mask
layer
shielding frame
light shielding
reflective
Prior art date
Application number
PCT/JP2012/006087
Other languages
English (en)
French (fr)
Inventor
将人 田辺
福上 典仁
陽 坂田
古溝 透
原口 崇
Original Assignee
凸版印刷株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2011214573A external-priority patent/JP5803517B2/ja
Application filed by 凸版印刷株式会社 filed Critical 凸版印刷株式会社
Priority to CN201280047186.5A priority Critical patent/CN103858210B/zh
Priority to EP12835771.2A priority patent/EP2763158A4/en
Priority to KR1020147007828A priority patent/KR101611775B1/ko
Publication of WO2013046641A1 publication Critical patent/WO2013046641A1/ja
Priority to US14/227,705 priority patent/US9448468B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof

Definitions

  • the present invention relates to a reflective mask blank and a method for manufacturing a reflective mask.
  • the present invention relates to a reflective mask blank and a reflective mask manufacturing method used in a semiconductor manufacturing apparatus or the like using EUV lithography that uses extreme ultraviolet (EUV) as a light source.
  • EUV extreme ultraviolet
  • EUV lithography (Description of EUV lithography)
  • EUV lithography using EUV having a wavelength of around 13.5 nm as a light source has been proposed. Since EUV lithography has a short light source wavelength and very high light absorption, it needs to be performed in a vacuum. In the EUV wavelength region, the refractive index of most substances is slightly smaller than 1. For this reason, the EUV lithography cannot use a transmission type refractive optical system which has been used conventionally, and becomes a reflection optical system. Therefore, a photomask (hereinafter also referred to as a mask) serving as an original plate must be a reflective mask because a conventional transmission mask cannot be used.
  • a photomask hereinafter also referred to as a mask
  • a reflective mask blank that is the basis of such a reflective mask
  • a multilayer reflective layer showing a high reflectance with respect to the exposure light source wavelength and an absorption layer of the exposure light source wavelength are sequentially formed on a low thermal expansion substrate.
  • a back surface conductive film for an electrostatic chuck in the exposure machine is formed on the back surface of the substrate.
  • EUV mask having a structure having a multilayer reflection layer and a buffer layer between the absorption layers.
  • the thickness of the absorption layer In order to prevent such blurring of the pattern edge portion and deviation from the design dimension, it is effective to reduce the thickness of the absorption layer and reduce the height of the pattern, but when the thickness of the absorption layer becomes small
  • the light shielding property in the absorbing layer is lowered, the transfer contrast is lowered, and the accuracy of the transfer pattern is lowered. That is, if the absorption layer is too thin, the contrast necessary for maintaining the accuracy of the transfer pattern cannot be obtained. That is, since the thickness of the absorption layer is too thick or too thin, it is currently in the range of 50 to 90 nm, and the reflectance of the EUV light absorption layer is 0.5 to 2%. Degree.
  • the multilayer reflective layer is destroyed by laser irradiation to reduce the reflectivity to form a light shielding frame, but the beam diameter of laser irradiation is as small as several tens of um. Therefore, it is excellent in local processing, but there is a concern that throughput may be deteriorated in the formation of a light shielding frame that surrounds the pattern area with a width of about 1 mm to 10 mm.
  • the present invention provides a reflective mask having a light-shielding frame with a high light-shielding property that prevents deterioration of the mask quality due to defects caused by particles, prevents collapse of a fine pattern, and does not damage the absorption layer or change optical properties. It is an object of the present invention to provide a blank and a reflective mask and a method for manufacturing the same.
  • Another object of the present invention is to provide a reflective mask blank and a reflective mask having a light-shielding frame with improved light-shielding frame formation throughput, low reflectance, and good quality, and a method for manufacturing the same.
  • the present invention includes a substrate, a multilayer reflection layer formed on the substrate, and an absorption layer formed on the multilayer reflection layer, and the frame shape of the absorption layer is larger than the film thickness of other regions. It is a reflective mask blank provided with a light shielding frame region.
  • the substrate is dug in the light shielding frame region, and the film thickness of the absorption layer is larger than the other regions by the dug amount.
  • the multilayer reflective layer is diffusely mixed by melting in the light shielding frame region.
  • a protective layer is further provided between the multilayer reflective layer and the absorbing layer.
  • This invention is also a manufacturing method of a reflective mask blank, Comprising: At least the following process is a manufacturing method of a reflective mask blank.
  • (2) A step of forming a reverse pattern of the frame-shaped light shielding frame pattern on the resist film.
  • (3) A step of etching the low thermal expansion substrate using a reverse pattern formed on the resist film as a mask to form a light shielding frame region on the surface of the low thermal expansion substrate.
  • CMP Chemical Mechanical Polishing
  • the present invention is also a reflective mask in which a circuit pattern is formed on the inner surface of the light shielding frame region of the reflective mask blank described above.
  • the present invention also relates to a method for manufacturing a reflective mask, which includes at least the following steps. (1) A step of preparing the above-described reflective mask blank and forming a resist film on the absorption layer. (2) A step of patterning the resist film and etching the absorption layer using the patterned resist film as a mask to form a circuit pattern. (3) A step of removing the resist film.
  • the method further includes a step of reducing the reflectance of the light shielding frame region compared to the reflectance of the region other than the light shielding frame region by melting and diffusing the multilayer reflective layer by high-temperature annealing in the light shielding frame region. preferable.
  • the high-temperature annealing treatment is performed using a halogen lamp or a xenon lamp, a shield is provided so that heat is not transmitted to other than the light shielding frame region, and heat treatment is performed only on the light shielding frame region.
  • the light shielding frame pattern is formed by etching the light shielding frame pattern on the substrate and using the step to increase the thickness of the absorption layer.
  • particles do not adhere to the pattern. Therefore, a reflective mask blank and a reflective type having a highly light-shielding frame that prevents deterioration of the mask quality due to defects caused by particles, prevents collapse of fine patterns, and does not damage the absorption layer or change optical properties.
  • a mask and a method for manufacturing the mask can be provided.
  • the multilayer reflective layer is melted by high-temperature annealing to reduce the reflectance, and a light shielding frame is formed. Therefore, the throughput is higher than the technique of digging by dry etching or the like and the reflectance reduction by laser irradiation. Since the target process is easy, it is possible to prevent deterioration of the mask quality on the defective surface.
  • the light shielding frame is formed by the high temperature annealing treatment, the high temperature treatment can be performed in a short time, and the light shielding frame can be easily formed without damaging other than the light shielding frame region.
  • the melting of the multilayer reflective layer even if not all layers are completely melted, if they are melted to some extent, they are shielded from light by the outermost absorption layer, so that sufficient performance to function as a light shielding frame is obtained. be able to.
  • the light-shielding frame can be formed without processing the mask surface, so that a light-shielding frame with good quality can be produced even on a defective surface.
  • FIG. 1 is a schematic cross-sectional view of a reflective mask according to the first embodiment.
  • FIG. 2 is a schematic plan view of the reflective mask according to the first embodiment.
  • FIG. 3 is a schematic cross-sectional view of the reflective mask blank according to the first embodiment.
  • FIG. 4 is a schematic plan view of the reflective mask blank according to the first embodiment.
  • FIG. 5 is a diagram illustrating a manufacturing process of the reflective mask blank according to the first embodiment.
  • FIG. 6 is a diagram illustrating a manufacturing process of the reflective mask blank according to the first embodiment.
  • FIG. 7 is a diagram illustrating a manufacturing process of the reflective mask according to the first embodiment.
  • FIG. 8 is a diagram showing a schematic cross section of the structure of the reflective mask according to the second embodiment.
  • FIG. 1 is a schematic cross-sectional view of a reflective mask according to the first embodiment.
  • FIG. 2 is a schematic plan view of the reflective mask according to the first embodiment.
  • FIG. 3 is a schematic cross-section
  • FIG. 9 is a diagram showing an outline of a reflective mask according to the second embodiment.
  • FIG. 10 is a diagram showing a schematic cross section of the structure of the reflective mask blank according to the second embodiment.
  • FIG. 11 is a diagram showing an outline of a reflective mask blank according to the second embodiment.
  • FIG. 12 is a schematic cross-sectional view of a high temperature annealing apparatus according to the second embodiment.
  • FIG. 13 is a diagram illustrating a manufacturing process of a reflective mask blank according to the second embodiment.
  • FIG. 14 is a diagram illustrating a manufacturing process of a reflective mask blank according to the second embodiment.
  • FIG. 15 is a diagram illustrating a manufacturing process of a reflective mask according to the second embodiment.
  • FIG. 16 is a diagram illustrating a manufacturing process of a reflective mask blank according to the second embodiment.
  • FIG. 17 is a diagram illustrating a manufacturing process of a reflective mask according to the second embodiment.
  • FIG. 1 is a schematic cross-sectional view of a reflective mask 100 of the present invention.
  • a multilayer reflective layer 50 that reflects exposure light, a protective layer 60 that protects the multilayer reflective layer 50, and an absorption layer 70 that absorbs exposure light are laminated on one surface of the substrate 10.
  • a conductive film 20 is laminated on the substrate.
  • the light shielding frame portion 90 is formed in the substrate 10 by digging out the light shielding frame portion and increasing the thickness of the absorption layer by the step, and the circuit pattern A is formed inside the light shielding frame region 90.
  • FIG. 2 is a schematic plan view of the reflective mask 100 of the present invention.
  • the substrate 10 for example, a substrate having low thermal expansion can be used.
  • FIG. 3 is a schematic cross-sectional view of the reflective mask blank 200 of the present invention.
  • FIG. 4 is a schematic plan view of the reflective mask 100 of the present embodiment.
  • the reflective mask blank 200 is a blank material before the circuit pattern A is formed on the reflective mask 100.
  • a typical example of the low thermal expansion substrate is quartz glass, but any substrate can be used as long as the reflective mask blank and the reflective mask of this embodiment can be produced. .
  • the reflective mask blank and the reflective mask of this embodiment can be manufactured by a manufacturing method including the following steps. In the following steps, etching and metal film generation can be performed appropriately using known methods and apparatuses used in photolithography.
  • a step of preparing a low thermal expansion substrate as a substrate and forming a resist film on the surface thereof Prior to this step, a conductive film may be formed on the back surface of the low thermal expansion substrate.
  • a metal film may be provided between the surface of the low thermal expansion substrate and the resist film.
  • a step of forming a reverse pattern of the light shielding frame pattern on the surface of the low thermal expansion substrate by etching the low thermal expansion substrate using the resist pattern as a mask.
  • the metal film is first etched using the resist pattern as a mask, and then the surface of the low thermal expansion substrate is subsequently etched.
  • a buffer layer may be provided between the protective layer and the absorption layer.
  • the reflective mask blank of this embodiment can be manufactured through the above steps.
  • a reflective mask is manufactured from the reflective mask blank obtained above by the following process.
  • the reflective mask of this embodiment can be manufactured through the above steps.
  • FIG. 5 and 6 are diagrams showing a manufacturing process of the reflective mask blank 200 of this embodiment.
  • the conductive film 20 is formed on the back surface of the low thermal expansion substrate 10.
  • the conductive film 20 was formed by sputtering using chromium nitride (CrN).
  • a metal film 30 is formed on the surface of the low thermal expansion substrate 10.
  • the metal film 30 was formed by sputtering using chromium (Cr).
  • a resist film 40 is applied on the metal film 30.
  • An electron beam positive resist was used for the resist film 40 and applied by spin coating (FIG. 5A).
  • a reverse pattern of the light shielding frame pattern is formed on the resist film 40.
  • An electron beam positive resist is used for the resist film 40.
  • the light shielding frame pattern is exposed by an electron beam drawing machine, baked and developed, and a reverse pattern of the light shielding frame pattern is formed by the resist film 40 (FIG. 5B). ).
  • the metal film 30 and the low thermal expansion substrate 10 are etched using the patterned resist film 40 as a mask.
  • Etching of the metal film 30 and the low thermal expansion substrate 10 was performed by dry etching (FIG. 5C).
  • Etching of the metal film 30 was performed with a mixed gas of chlorine (Cl 2 ), oxygen (O 2 ), and helium (He).
  • Etching of the low thermal expansion substrate 10 was performed with a mixed gas of trifluoromethane (CHF 3 ) and tetrafluoromethane (CF 4 ).
  • the resist film 40 and the metal film 30 are peeled off.
  • sulfuric acid / hydrogen peroxide was used for removing the resist film 40.
  • the metal film 30 was peeled off by dry etching. Thereafter, sulfuric acid / hydrogen peroxide cleaning and ammonia hydrogen peroxide cleaning were performed (FIG. 5D).
  • Etching of the metal film 30 was performed with a mixed gas of chlorine (Cl 2 ), oxygen (O 2 ), and helium (He).
  • the multilayer reflective layer 50 is a laminated film in which 40-50 pairs of molybdenum (Mo) and silicon (Si) are alternately laminated.
  • Ruthenium (Ru) was used for the protective layer 60.
  • Tantalum nitride (TaN) was used for the absorption layer 70. All were formed by sputtering (FIG. 6E).
  • the surface of the absorption layer 70 is planarized. A CMP method was used for planarization (FIG. 6 (f)).
  • FIG. 7 is a diagram showing a manufacturing process of the reflective mask of this embodiment.
  • a resist film 80 is applied on the absorption layer 70 of the reflective mask blank 200 described above.
  • An electron beam positive resist was used for the resist film 80 and applied by spin coating (FIG. 7A).
  • circuit pattern region A is formed by the resist film (FIG. 7B).
  • the absorption layer 70 is etched using the patterned resist film 80 as a mask.
  • the absorption layer 70 was etched by dry etching (FIG. 7C).
  • the absorption layer 70 was etched using a mixed gas of trifluoromethane (CHF3) and helium (He).
  • the reflectance of EUV light (wavelength: 13.5 nm) outside the light shielding frame of the reflective mask blank 200 produced in this example was measured and found to be 1.24%.
  • the reflectance of the light shielding frame region 90 was 0.01%. The results are shown in Table 1.
  • the reflective mask 100 produced in this example exposure using 13.5 nm EUV as a light source was performed, and four adjacent chips (semiconductor devices) were transferred onto the semiconductor substrate. In the adjacent chip, although a part of the region corresponding to the light shielding frame on the manufactured reflective mask 100 was overlapped, the resist exposure in the region on the semiconductor substrate was not confirmed.
  • the light shielding frame is formed by etching the light shielding frame pattern on the substrate and using the step to increase the thickness of the absorption layer before forming the multilayer reflective layer.
  • particles do not adhere to the circuit pattern of the mask. Further, the collapse of the fine pattern is prevented, and there is no damage to the absorption layer and no change in optical properties. Therefore, it is possible to suppress the deterioration of the mask defect quality.
  • the film thickness of the absorption layer of the light shielding frame is large, the intensity of the reflected light generated from the reflective layer can be suppressed, and a light shielding frame with high light shielding properties can be formed. For these reasons, a transfer pattern can be formed with high accuracy by using the reflective mask of this embodiment.
  • FIGS. 8A to 8D show cross sections of the reflective masks 101, 102, 103, and 104 of this embodiment. That is, any of 100, 200, 300, and 400 may be used as the configuration of the reflective mask of this embodiment.
  • 9A is a view of the reflective masks 101, 102, 103, and 104 of the present invention shown in FIGS. 8A to 8D as viewed from the surface, and FIG. , 104 is a view of the reflectance distribution as viewed from the surface.
  • a multilayer reflective layer 50 and an absorbing layer 70 are sequentially formed on the surface of the substrate 10.
  • the reflective mask 102 shown in FIG. 8B has a structure in which the multilayer reflective layer 50 and the absorption layer 70 are sequentially formed on the surface of the substrate 10, and the conductive film 20 is formed on the back surface of the substrate 10. Yes. That is, the reflective mask 102 in FIG. 8B has a structure in which the conductive film 20 is formed on the back surface of the substrate 10 of the reflective mask 101 shown in FIG.
  • the reflective mask 103 shown in FIG. 8C the multilayer reflective layer 50, the buffer layer 61, and the absorption layer 70 are sequentially formed on the surface of the substrate 10.
  • the reflective mask 104 shown in FIG. 8D has a structure in which the conductive film 20 is formed on the back surface of the substrate 10 of the reflective mask 103 shown in FIG.
  • a part of the multilayer reflective layer 50 on the substrate 10 in FIGS. 8A to 8D becomes a multilayer reflective layer melting part 50a by high-temperature annealing, and other parts in the region where the absorption layer 70 is formed.
  • a region of a light shielding frame region 90 having a lower reflectance than the region is formed.
  • the reflectance distribution of the reflective mask is as follows. That is, as shown in FIGS. 8A to 8D, a part of the absorption layer 70 is removed, the multilayer reflection layer 50 is exposed, and the circuit pattern region A formed by the absorption layer 70 and the multilayer reflection layer 50
  • the light-shielding frame region 90 formed by high-temperature annealing on the multilayer reflective layer 50, the frame-like region where the absorption layer 70 remains so as to surround the outside of the light-shielding frame region 90, and the circuit pattern region inside the light-shielding frame region 90
  • Three types of reflectance distributions are obtained in the region C, which is a region in which the absorption layer 70 remains so as to surround A.
  • the light shielding frame region 90 is not visible from the mask (mask blank) surface, the light shielding frame region 90 is viewed visually as shown in FIG. 9 (a) and as viewed in reflectance as shown in FIG. 9 (b). It looks different depending on the case.
  • the circuit pattern region A in FIG. 9B has a repetitive pattern of a region where the reflectance is about 60% (multilayer reflective layer 50) and a region where the reflectance is about 0.5% to 2% (absorbing layer 70).
  • the overall circuit pattern area A is about 30%.
  • the multilayer reflective layer melting part 50a with suppressed reflectivity in order to form the light shielding frame region 90 of the present invention is described.
  • the multilayer reflective layer 50 is melted by efficiently annealing the light shielding frame region in a short time using an annealing apparatus.
  • the processing is not performed on the mask surface, and therefore the timing does not matter even after the circuit pattern region is formed or before the formation.
  • FIGS. 10A to 10D show the structures of the reflective mask blanks 201, 202, 203, and 204 after the high-temperature annealing treatment when the reflective mask blank before forming the circuit pattern region is subjected to the high-temperature annealing treatment.
  • FIG. 11A is a view of the reflective mask blanks 201, 202, 203, and 204 as viewed from the surface
  • FIG. 11B shows the reflectance distribution of the reflective mask blanks 201, 202, 203, and 204. It is the figure seen from the surface.
  • the high temperature annealing processing apparatus includes an annealing lamp 95 and a shield 96.
  • the shield 96 is provided so as to prevent heat from being transmitted to other than the light shielding frame region for forming the light shielding frame, and heat treatment can be performed only on the light shielding frame region.
  • examples of the annealing lamp 95 include a halogen lamp and a xenon lamp.
  • a reflective mask in which the circuit pattern area A is formed or an object to be processed 97 which is a reflective mask blank before forming the circuit pattern A is put in, and a high temperature annealing process is performed on the area to be the light shielding frame area 90.
  • the multilayer reflective layer 50 in the region can be melted and diffused and mixed to reduce the reflectance and form the light shielding frame region 90.
  • the multilayer reflective layer 50 begins to melt, and can be performed at 150 ° C. or higher and a time of 0.001 second to 0.1 second.
  • the annealing process is performed in such a short time, the influence on the area around the light shielding frame area 90 can be reduced.
  • the temperature may be 150 ° C. or higher, but preferably does not exceed 1000 ° C., which has a great influence on the reflective mask itself.
  • the light shielding frame region 90 can be suitably created by adjusting these processing conditions.
  • the melting (melting) temperature of the multilayer reflective layer 50 varies depending on the material constituting the multilayer reflective layer 50, the processing conditions such as processing temperature and processing time can be appropriately selected and changed depending on the material.
  • the treatment In order to suppress the influence of heat to other than the light shielding frame, it is preferable to perform the treatment at a predetermined high temperature or higher and a predetermined short time. Further, the processing under the same processing conditions may be repeated, or the processing may be performed a plurality of times while changing the processing conditions.
  • a material such as alumina, ceramic, Teflon (registered trademark) or the like that can shield heat without causing melting or deformation at the annealing temperature such as metal or polymer resin, should be used. Can do.
  • the multilayer reflective layer 50 shown in FIGS. 10A and 10B is designed to achieve a reflectivity of about 60% with respect to EUV light in the vicinity of 13.5 nm.
  • the layer adjacent to the Ru layer is a Si layer.
  • the reason why Mo and Si are used is that the absorption (extinction coefficient) for EUV light is small and the difference in refractive index between Mo and Si EUV light is large. This is because it can be high.
  • the uppermost Ru of the multilayer reflective layer plays a role as a stopper in processing of the absorption layer and a protective layer against chemicals during mask cleaning.
  • the multilayer reflective layer 50 shown in FIGS. 10C and 10D is designed to achieve a reflectivity of about 60% with respect to EUV light in the vicinity of 13.5 nm, and Mo and Si are alternately 40. It is a laminated film in which up to 50 pairs are laminated, and the uppermost layer is composed of a Si layer. In this case, the uppermost Si of the multilayer reflective layer also plays the same role as Ru described above.
  • the buffer layer 61 shown in FIGS. 10C and 10D is provided to protect the Si layer that is the uppermost layer of the multilayer reflective layer 50 adjacent to the buffer layer when the absorbing layer 70 is etched or the pattern is corrected. It is made of a nitrogen compound (CrN) of chromium (Cr). The buffer layer 61 may not be provided.
  • the absorption layer 70 shown in FIGS. 10A to 10D is made of a tantalum (Ta) nitrogen compound (TaN) having a high absorption rate with respect to EUV near 13.5 nm.
  • Ta tantalum nitrogen compound
  • TaBN tantalum boron nitride
  • TaSi tantalum silicon
  • TaO tantalum
  • oxides thereof TaBON, TaSiO, TaO
  • the absorption layer 70 shown in FIGS. 10A to 10D is an absorption layer having a two-layer structure in which a low reflection layer having an antireflection function with respect to ultraviolet light (DUV light) having a wavelength of 190 to 260 nm is provided on the upper layer. It may be.
  • the low reflection layer is for increasing the contrast and improving the inspection property with respect to the inspection wavelength of the mask defect inspection machine.
  • the conductive film 20 shown in FIG. 10B and FIG. 8D is generally made of CrN, but may be any material made of a metal material as long as it has conductivity.
  • FIG. 8A shows a reflective mask 101 in which a circuit pattern region is produced from the reflective mask blank 201 shown in FIG.
  • FIG. 8B shows a reflective mask 102 in which a circuit pattern region is produced from the reflective mask blank 202 shown in FIG.
  • FIG. 8C shows a reflective mask 103 in which a circuit pattern region is produced from the reflective mask blank 203 shown in FIG.
  • FIG. 8D shows a reflective mask 104 in which a circuit pattern region is formed from the reflective mask blank 204 shown in FIG.
  • the light shielding frame region 90 shown in FIG. 8 is formed by melting the multilayer reflective layer 50 by high-temperature annealing (50a), and a reflective mask having the circuit pattern region A is formed.
  • a reflective mask having a light shielding frame region 90 having a reflectance with respect to EUV light that is sufficiently smaller than that of the absorption layer region C is obtained. If this reflective mask is used, it is possible to prevent the outer periphery of the chip from being exposed to light even when multiple exposure occurs, so that the quality of individual chips is not adversely affected.
  • the reflective mask blank 201 or 202 shown in FIGS. 10A and 10B is prepared, and after forming a resist pattern by electron beam lithography, the absorption layer 70 is obtained from fluorocarbon plasma or chlorine plasma, and if necessary, both plasmas. Is etched and the resist is peeled and washed to obtain the reflective mask 101 or 102 shown in FIGS. 8A and 8B in which the circuit pattern region A is formed in the absorption layer 70.
  • a reflective mask blank 203 or 204 shown in FIGS. 10 (c) and 10 (d) is prepared, a resist pattern is formed by electron beam lithography, and then absorbed by fluorocarbon plasma or chlorine plasma, if necessary, by both plasmas.
  • the circuit pattern region A is formed in the absorption layer 70 and the buffer layer 61 by etching the layer 70, and then etching the buffer layer 61 with chlorine plasma and cleaning the resist.
  • FIGS. 8 (c) and 8 (d) The reflective mask 103 or 104 shown is obtained.
  • the multilayer reflective layer is melted by a high-temperature annealing process to reduce the reflectance and form a light shielding frame, so that the throughput is higher than the technique of digging by dry etching or the like and the reflectance reduction by laser irradiation. Since the process is relatively easy, it is possible to prevent deterioration of the mask quality on the defective surface.
  • FIG. 13A shows a low thermal expansion glass substrate 110 prepared in this example. Thereafter, a conductive film 120 for electrostatic chucking was formed on the back surface as shown in FIG. As shown in FIG. 13C, a 40-pair reflective layer (multilayer reflective layer) 150 of Mo and Si designed to have a reflectance of about 64% with respect to EUV light having a wavelength of 13.5 nm on a glass substrate 110. Laminated. Subsequently, an absorption layer 170 made of TaN was formed by a sputtering apparatus (FIG. 13D). The film thickness of the absorption layer 170 at this time was 50 nm.
  • the substrate is placed in a high temperature annealing apparatus (FIG. 12) having a flash lamp type light shielding frame shield, and a high temperature annealing process is performed at 150 ° C. or higher to form a layer 150a in which a part of the multilayer reflective layer 150 is melted.
  • a high temperature annealing process is performed at 150 ° C. or higher to form a layer 150a in which a part of the multilayer reflective layer 150 is melted.
  • the reflective mask blank 205 of the present invention shown in FIG. 14 having the light shielding frame region 190 having a sufficiently lower reflectance than the absorbing layer region was completed.
  • Example of manufacturing method of reflective mask A reflective mask 105 was produced from the reflective mask blank 205 shown in FIG. 14 produced in Example 1 (FIG. 15). The manufacturing method is shown. The reflective mask blank 202 was subjected to electron beam lithography, dry etching, and resist peeling and cleaning, and the circuit pattern A was formed on the absorption layer 170 to produce the reflective mask 105 having the light shielding frame of this embodiment.
  • a chemically amplified positive resist FEP171 (manufactured by FUJIFILM Electronics Materials Co., Ltd.) is used to draw TMAH (tetramethyl hydroxide) after drawing with a drawing machine JBX9000 (manufactured by JEOL Ltd.) at a dose of 15 ⁇ C / cm.
  • TMAH tetramethyl hydroxide
  • JBX9000 manufactured by JEOL Ltd.
  • FIG. 16A shows a low thermal expansion glass substrate 110 prepared in this example. Thereafter, a conductive film 120 for electrostatic chucking was formed on the back surface as shown in FIG. A multilayer reflective layer 150 composed of 40 pairs of Mo and Si designed to have a reflectance of about 64% with respect to EUV light having a wavelength of 13.5 nm is laminated on the glass substrate 110 as shown in FIG. . Subsequently, an absorption layer 170 made of TaN was formed by a sputtering apparatus (FIG. 16D). The film thickness of the absorption layer 170 at this time was 50 nm. Thus, the reflective mask blank 206 of the present invention was completed.
  • a reflective mask 106 was fabricated from the reflective mask blank 206 of FIG. 16 (d) fabricated in this example (FIG. 17 (a)). The manufacturing method is shown.
  • the reflective mask blank 206 is subjected to electron beam lithography, dry etching, and resist peeling cleaning to form a circuit pattern A on the absorption layer 170.
  • FIG. 17B For electron beam lithography, using chemical amplification type positive resist FEP171 (manufactured by FUJIFILM Electronics Materials Co., Ltd.), after drawing with a drawing machine JBX9000 (manufactured by JEOL Ltd.) at a dose of 15 ⁇ C / cm.
  • a resist pattern was formed with a 2.38% developer of TMAH (Tetramethylammonium Hydroxide). Cl 2 inductively coupled plasma was applied to the etching of the absorption layer 170.
  • this reflective mask After forming the circuit pattern region, this reflective mask is put into a high-temperature annealing apparatus (FIG. 12) having a shield for a flash lamp type light-shielding frame, and the light-shielding frame region is subjected to high-temperature annealing at 150 ° C. or more.
  • a high-temperature annealing apparatus FIG. 12
  • the reflective mask 106 FIG. 17A
  • the reflective mask 106 FIG. 17A of this example having the light shielding frame region 190 having a sufficiently lower reflectance than the absorbing layer region was completed.
  • Table 2 shows the results of comparing the reflectance of the light shielding frame region 190 and the absorption layer (non-light shielding frame region C) for the reflective masks obtained in Examples 1 and 2. It was confirmed that the reflectance of the light shielding frame region was sufficiently smaller than the reflectance of the absorption layer region.
  • the present invention is not limited to the above-described embodiments as they are, and can be modified and embodied without departing from the gist of the present invention.
  • Various inventions can be envisaged by appropriately combining the matters shown in the specification.
  • the light shielding frame region of the substrate is dug as shown in the first embodiment, the thickness of the absorption layer is increased by the level difference, and the light shielding of the multilayer reflective layer is performed as shown in the second embodiment.
  • the reflectance of the light shielding frame region can be more reliably reduced.
  • the present invention is useful for a reflective mask blank, a reflective mask, and the like.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

 反射型マスクブランク及び反射型マスク、その製造方法を提供し、遮光枠における反射率を抑え、品質を改善する。 反射型マスクは、基板と、前記基板上に形成された多層反射層と、前記多層反射層の上に形成された吸収層とを含み、前記吸収層の膜厚が他の領域の膜厚より大きい枠形状の遮光枠領域を備える。また、多層反射層は、遮光枠領域において融解により拡散混合されている。

Description

反射型マスクブランク及び反射型マスク、その製造方法
 本発明は、反射型マスクブランク、及び反射型マスクの製造方法に関する。特に、極端紫外線(Extreme Ultra Violet;EUV)を光源とするEUVリソグラフィを用いた半導体製造装置などに利用される、反射型マスクブランク、及び反射型マスクの製造方法に関する。
 (EUVリソグラフィの説明)
 近年、半導体デバイスの微細化に伴い、波長が13.5nm近傍のEUVを光源に用いたEUVリソグラフィが提案されている。EUVリソグラフィは光源波長が短く光吸収性が非常に高いため、真空中で行われる必要がある。またEUVの波長領域においては、ほとんどの物質の屈折率は1よりもわずかに小さい値である。このため、EUVリソグラフィにおいては従来から用いられてきた透過型の屈折光学系を使用することができず、反射光学系となる。従って、原版となるフォトマスク(以下、マスクとも呼ぶ)も、従来の透過型のマスクは使用できないため、反射型のマスクとする必要がある。
 (EUVマスクとブランク構造の説明)
 このような反射型マスクの元となる反射型マスクブランクは、低熱膨張基板の上に、露光光源波長に対して高い反射率を示す多層反射層と、露光光源波長の吸収層とが順次形成されており、更に基板の裏面には露光機内における静電チャックのための裏面導電膜が形成されている。また、多層反射層と、吸収層の間に緩衝層を有する構造を持つEUVマスクもある。反射型マスクブランクから反射型マスクへ加工する際には、EBリソグラフィとエッチング技術とにより吸収層を部分的に除去し、緩衝層を有する構造の場合はこれも同じく除去し、吸収部と反射部とからなる回路パターンを形成する。このように作製された反射型マスクによって反射された光像が反射光学系を経て半導体基板上に転写される。
 (EUVマスクの吸収層の膜厚と反射率の説明)
 反射光学系を用いた露光方法では、マスク面に対して垂直方向から所定角度傾いた入射角(通常6°)で照射されるため、吸収層の膜厚が厚い場合、パターン自身の影が生じてしまい、この影となった部分における反射強度は、影になっていない部分よりも小さいため、コントラストが低下し、転写パターンには、エッジ部のぼやけや設計寸法からのずれが生じてしまう。これはシャドーイングと呼ばれ、反射マスクの原理的課題の一つである。
 このようなパターンエッジ部のぼやけや設計寸法からのずれを防ぐためには、吸収層の膜厚は小さくし、パターンの高さを低くすることが有効であるが、吸収層の膜厚が小さくなると、吸収層における遮光性が低下し、転写コントラストが低下し、転写パターンの精度低下となる。つまり吸収層を薄くし過ぎると転写パターンの精度を保つための必要なコントラストが得られなくなってしまう。つまり、吸収層の膜厚は厚すぎても薄すぎても問題になるので、現在は概ね50~90nmの間になっており、EUV光の吸収層での反射率は0.5~2%程度である。
 (隣接するチップの多重露光の説明)
 一方、反射型マスクを用いて半導体基板上に転写回路パターンを形成する際、一枚の半導体基板上には複数の回路パターンのチップが形成される。隣接するチップ間において、チップ外周部が重なる領域が存在する場合がある。これはウェハ1枚あたりに取れるチップを出来るだけ増やしたいという生産性向上のために、チップを高密度に配置するためである。この場合、この領域については複数回(最大で4回)に渡り露光(多重露光)されることになる。この転写パターンのチップ外周部はマスク上でも外周部であり、通常、吸収層の部分である。しかしながら、上述したように吸収層上でのEUV光の反射率は、0.5~2%程度あるために、多重露光によりチップ外周部が感光してしまう問題があった。このため、マスク上のチップ外周部に通常の吸収層よりもEUV光の遮光性の高い領域(以下、遮光枠と呼ぶ)を設ける必要性が出てきた。
 このような問題を解決するために、反射型マスクの吸収層から多層反射層までを掘り込んだ溝を形成することや、回路パターン領域の吸収層の膜厚よりも厚い膜を形成することや、反射型マスク上にレーザー照射もしくはイオン注入することで多層反射層の反射率を低下させることにより、露光光源波長に対する遮光性の高い遮光枠を設けた反射型マスクが提案されている(特許文献1および特許文献2参照)。
特開2009-212220号公報 特開2011-44520号公報
 しかしながら、特許文献1が開示する反射型マスクでは、遮光枠の形成のため、マスクパターン作成後の多層反射層の掘り込みはSiとMoの合計80層を加工する必要があり、ドライエッチングによって掘り込みを行うには非常に複雑な条件が必須となる。また、メインパターンのエッチングとは別に、2度に分けてリソグラフィーとエッチングを行う必要があり、スループットが悪化する。また、加工面からのパーティクル発生は避けられず、欠陥面でのマスク品質の低下を招いてしまう。さらにこの方法では、上層の吸収層を除去した後に、多層反射層を除去することから、多層反射層がほんの数層残ってしまった場合は、逆に反射率を高くしてしまう懸念がある。また、吸収層の膜厚が厚い反射型マスクブランクを用いる場合、回路パターンが微細であることから、反射型マスク作成工程で通常膜厚の吸収膜に比べて高いアスペクト比のパターンが形成されることになり、洗浄等により回路パターンが倒壊する懸念がある。また、反射型マスク上にレーザ照射もしくはイオン注入することで遮光枠を形成する場合、多層反射層以外によるレーザ光もしくはイオンの損失があるため、この損失分を考慮したレーザ光もしくはイオンを照射しなくてはならない。また多層反射層以外の膜にはレーザ光もしくはイオンの照射によるダメージが生じ、吸収層の露光光源波長の吸収率が低下してしまうことが懸念される。
 また、特許文献2が開示する反射型マスクでは、遮光枠の形成のため、レーザー照射により多層反射層を破壊し反射率を低下させているが、レーザー照射のビーム径は数十umと微小であるため局所的な加工には優れているが、1mm~10mm程度の幅でパターン領域を囲む遮光枠形成においてはスループットの悪化が懸念される。
 そこで、本発明は、パーティクル起因の欠陥によるマスク品質低下を防ぎ、微細パターンの倒壊を防ぎ、且つ吸収層へのダメージや光学的性質の変化のない、遮光性の高い遮光枠を有する反射型マスクブランク及び反射型マスク、その製造方法を提供することを目的とする。
 また、本発明は、遮光枠形成のスループットを改善し、反射率を抑え、品質も良好な遮光枠を有する反射型マスクブランク及び反射型マスク、その製造方法を提供することを目的とする。
 本発明は、基板と、基板上に形成された多層反射層と、多層反射層の上に形成された吸収層とを含み、吸収層の膜厚が他の領域の膜厚より大きい枠形状の遮光枠領域を備える反射型マスクブランクである。
 また、基板は、遮光枠領域において、掘り込まれ、吸収層の膜厚は、その掘り込み量の分、他の領域より大きいことが好ましい。
 また、遮光枠領域において、多層反射層が融解により拡散混合されていることが好ましい。
 また、多層反射層と吸収層の間にさらに保護層を有することが好ましい。
 本発明はまた、反射型マスクブランクの製造方法であって、少なくとも下記の工程を含む、反射型マスクブランクの製造方法である。
(1)低熱膨張基板を用意し、低熱膨張基板の表面にレジスト膜を形成する工程。
(2)レジスト膜に枠形状の遮光枠パターンの逆パターンを形成する工程。
(3)レジスト膜に形成された逆パターンをマスクに低熱膨張基板をエッチングして、低熱膨張基板表面に遮光枠領域を形成する工程。
(4)レジスト膜を剥離した後、遮光枠領域が形成された低熱膨張基板表面に、多層反射層と保護層と吸収層とをこの順に積層する工程。
(5)成膜された吸収層の表面を、CMP(Chemical Mechanical Polishing)法により、面一にする工程。
 本発明はまた、上述の反射型マスクブランクの遮光枠領域の内側の表面に、回路パターンを形成した、反射型マスクである。
 本発明はまた、反射型マスクの製造方法であって、少なくとも下記の工程を含む、反射型マスクの製造方法である。
(1)上述の反射型マスクブランクを用意し、吸収層上にレジスト膜を形成する工程。
(2)レジスト膜をパターニングし、パターニングしたレジスト膜をマスクとして吸収層をエッチングして、回路パターンを形成する工程。
(3)レジスト膜を剥離する工程。
 また、遮光枠領域において、高温アニール処理によって多層反射層を融解させ拡散混合させることにより、遮光枠領域の反射率を遮光枠領域以外の領域の反射率に比べて小さくする工程をさらに含むことが好ましい。
 また、高温アニール処理は、ハロゲンランプまたはキセノンランプを用いて行い、遮光枠領域以外に熱が伝わらないようにシールドを設け、遮光枠領域のみに熱処理を行うことが好ましい。
 本発明によれば、多層反射層を成膜する前段階において、基板に遮光枠パターンをエッチングし、その段差を用いて吸収層の膜厚を厚くすることにより遮光枠を形成することから、回路パターンにパーティクルが付着する事は原理的に無い。そのため、パーティクル起因の欠陥によるマスク品質低下を防ぎ、微細パターンの倒壊を防ぎ、且つ吸収層へのダメージや光学的性質の変化のない、遮光性の高い遮光枠を有する反射型マスクブランク及び反射型マスク、その製造方法を提供することができる。
 本発明は、多層反射層を高温アニール処理にて融解し反射率を低減し、遮光枠を形成することから、ドライエッチング等で掘り込む手法かつレーザー照射による反射率低減よりもスループットが高く、比較的工程が容易であるため欠陥面でのマスク品質の低下を防ぐことができる。
 また、高温アニール処理で遮光枠を形成するため、短時間で高温処理を行うことができ、遮光枠領域以外にはダメージを与えることなく、容易に遮光枠を形成することができる。また、多層反射層の融解については完全に全ての層が融解していなくても、ある程度融解していれば最表層の吸収層により遮光されるため遮光枠として機能するのに十分な性能を得ることができる。本手法を用いることで遮光枠をマスク表面を加工することなく形成できるため、欠陥面においても品質の良い遮光枠を作製することができる。
図1は、第1の実施形態に係る反射型マスクの断面概略図を示す図である。 図2は、第1の実施形態に係る反射型マスクの平面概略図を示す図である。 図3は、第1の実施形態に係る反射型マスクブランクの断面概略図を示す図である。 図4は、第1の実施形態に係る反射型マスクブランクの平面概略図を示す図である。 図5は、第1の実施形態に係る反射型マスクブランクの作製工程を示す図である。 図6は、第1の実施形態に係る反射型マスクブランクの作製工程を示す図である。 図7は、第1の実施形態に係る反射型マスクの作製工程を示す図である。 図8は、第2の実施形態に係る反射型マスクの構造の概略断面を示す図である。 図9は、第2の実施形態に係る反射型マスクの概略を示す図である。 図10は、第2の実施形態に係る反射型マスクブランクの構造の概略断面を示す図である。 図11は、第2の実施形態に係る反射型マスクブランクの概略を示す図である。 図12は、第2の実施形態に係る高温アニール処理装置の概略断面を示す図である。 図13は、第2の実施形態に係る反射型マスクブランクの作製工程を示す図である。 図14は、第2の実施形態に係る反射型マスクブランクの作製工程を示す図である。 図15は、第2の実施形態に係る反射型マスクの作製工程を示す図である。 図16は、第2の実施形態に係る反射型マスクブランクの作製工程を示す図である。 図17は、第2の実施形態に係る反射型マスクの作製工程を示す図である。
 (第1の実施形態)
 以下に本発明の第1の実施形態について説明する。
 (反射型マスクの構成)
 本実施形態の反射型マスクの構成について説明する。図1は、本発明の反射型マスク100の断面概略図である。反射型マスク100は、基板10の一面に、露光光を反射する多層反射層50、多層反射層50を保護する保護層60、露光光を吸収する吸収層70が積層され、基板10の他面に導電膜20が積層されて形成されている。また、基板10における遮光枠部を掘り込み、その段差分、吸収層の膜厚を厚くすることにより、遮光枠領域90が形成されており遮光枠領域90の内側に回路パターンAが形成されている。図2は、本発明の反射型マスク100の平面概略図である。基板10は例えば低熱膨張性を有する基板を用いることができる。
 (反射型マスクブランクの構成)
 本実施形態の反射型マスクブランクの構成について説明する。図3は、本発明の反射型マスクブランク200の断面概略図である。図4は、本実施形態の反射型マスク100の平面概略図である。反射型マスクブランク200は、反射型マスク100において回路パターンAを形成する前のブランク材である。
 低熱膨張基板としては、石英ガラスが代表的なものとして挙げられるが、本実施形態の反射型マスクブランク、および反射型マスクを作製することが可能であれば、任意のものを使用することができる。
 (反射型マスクブランク及び反射型マスクの製造方法)
 本実施形態の反射型マスクブランク及び反射型マスクは、以下のような工程を含む製造方法によって製造することが可能である。下記の工程中、エッチングや金属膜などの生成は、フォトリソグラフィーで使用される公知の手法や装置を適宜利用して行うことができる。
 (1)基板として低熱膨張基板を用意し、その表面にレジスト膜を形成する工程。
 本工程の前に、低熱膨張基板の裏面に導電膜を成膜しても良い。また、低熱膨張基板表面とレジスト膜の間に金属膜を設けても良い。
 (2)レジスト膜に遮光枠パターンの逆パターンのレジストパターンを形成する工程。
 (3)レジストパターンをマスクとして低熱膨張基板をエッチングして、低熱膨張基板表面に遮光枠パターンの逆パターンを形成する工程。
 低熱膨張基板表面に金属膜を設けている場合は、レジストパターンをマスクとして、まず金属膜をエッチングし、その後引き続き低熱膨張基板表面をエッチングする。
 (4)レジストを剥離し、その後、パターニングした低熱膨張基板表面に多層反射層と保護層と吸収層とをこの順に積層する工程。
 保護層と吸収層との間に緩衝層を設けても良い。なお、低熱膨張基板表面に金属膜を設けている場合は、レジスト剥離後金属膜も剥離する。
 (5)成膜された吸収層を、CMP(Chemical Mechanical Polishing:化学機械研磨)法により、表面を面一にする工程。
 以上の工程によって、本実施形態の反射型マスクブランクを製造することができる。
 次に下記の工程によって、上記で得られた反射型マスクブランクから、反射型マスクを製造する。
 (1)前記吸収層上にレジスト膜を形成する工程。
 (2)パターニングしたレジストをマスクとして吸収層をエッチングして、金属層に所定のパターンを形成する工程。なお、保護層と吸収層の間に緩衝層を設けている場合は、緩衝層もエッチングする。
 (3)レジストを剥離する工程。
 以上の工程によって、本実施形態の反射型マスクを製造することができる。
 (第1の実施形態の実施例)
 以下、本実施形態の反射型マスクブランク200の製造方法の実施例を説明する。図5および図6は本実施例の反射型マスクブランク200の製造工程を示す図である。まず、低熱膨張基板10の裏面に導電膜20を成膜する。導電膜20には窒化クロム(CrN)を用い、スパッタリングによって成膜した。続いて低熱膨張基板10の表面に金属膜30を成膜する。金属膜30にはクロム(Cr)を用い、スパッタリングによって成膜した。続いて金属膜30上にレジスト膜40を塗布する。レジスト膜40には電子線ポジレジストを用い、スピンコートにより塗布した(図5(a))。
 続いて、レジスト膜40に遮光枠パターンの逆パターンを形成する。レジスト膜40には電子線ポジレジストを用い、遮光枠パターンを電子線描画機にて露光し、ベークおよび現像を施し、レジスト膜40による遮光枠パターンの逆パターンを形成した(図5(b))。
 続いて、パターニングされたレジスト膜40をマスクにして金属膜30と低熱膨張基板10をエッチングする。金属膜30と低熱膨張基板10のエッチングはドライエッチングにて実施した(図5(c))。金属膜30のエッチングは、塩素(Cl)と酸素(O)とヘリウム(He)の混合ガスにて実施した。また、低熱膨張基板10のエッチングは、三フッ化メタン(CHF)と四フッ化メタン(CF)の混合ガスにて実施した。
 続いて、レジスト膜40と金属膜30を剥離する。レジスト膜40の剥離には硫酸過水を用いた。金属膜30の剥離はドライエッチングにて実施した。その後、硫酸過水洗浄とアンモニア過水洗浄を実施した(図5(d))。金属膜30のエッチングは、塩素(Cl)と酸素(O)とヘリウム(He)の混合ガスにて実施した。
 続いて、エッチングによってパターニングされた低熱膨張基板10表面に、多層反射層50と保護層60と吸収層70とをこの順に積層する。多層反射層50にはモリブデン(Mo)とシリコン(Si)が交互に40~50ペア積層した積層膜を用いた。保護層60にはルテニウム(Ru)を用いた。吸収層70には窒化タンタル(TaN)を用いた。いずれもスパッタリングにて形成した(図6(e))。続いて、吸収層70の表面を平坦化する。平坦化にはCMP法を用いた(図6(f))。
 続いて、本実施形態の反射型マスク100の製造方法の実施例を説明する。図7は本実施例の反射型マスクの製造工程を示す図である。まず、前述した反射型マスクブランク200の吸収層70上にレジスト膜80を塗布する。レジスト膜80には電子線ポジレジストを用い、スピンコートにより塗布した(図7(a))。
 続いて、レジスト膜80に回路パターンを形成する。レジスト膜80には電子線ポジレジストを用い、回路パターンを電子線描画機にて露光し、ベークおよび現像を施し、レジスト膜による回路パターン(回路パターン領域A)を形成した(図7(b))。
 続いて、パターニングされたレジスト膜80をマスクにして吸収層70をエッチングする。吸収層70のエッチングはドライエッチングにて実施した(図7(c))。吸収層70のエッチングには、三フッ化メタン(CHF3)とヘリウム(He)の混合ガスにて実施した。
 続いて、レジスト膜80を剥離する。レジスト膜80の剥離には硫酸過水を用いた(図7(d))。
 このようにして、図1に示す反射型マスク100を得ることができた。
 本実施例にて作製した反射型マスクブランク200の遮光枠外におけるEUV光(波長13.5nm)の反射率を測定したところ1.24%であった。一方、遮光枠領域90の反射率は0.01%であった。結果を表1に示す。
Figure JPOXMLDOC01-appb-T000001
 本実施例にて作製した反射型マスク100を用いて13.5nmのEUVを光源とした露光を行い、半導体基板上に隣接した4つのチップ(半導体装置)を転写した。隣接したチップにおいて、作製した反射型マスク100上の遮光枠に相当する領域の一部は重なっていたにもかかわらず、半導体基板上の当該領域におけるレジストの感光は確認されなかった。
 本実施形態では、多層反射層を成膜する前段階において、基板に遮光枠パターンをエッチングし、その段差を用いて吸収層の膜厚を厚くすることにより遮光枠を形成することから、反射型マスクの回路パターンにパーティクルが付着する事は原理的に無い。また、微細パターンの倒壊を防ぎ、且つ吸収層へのダメージや光学的性質の変化がない。その為、マスク欠陥品質の低下を抑えることが可能である。また、遮光枠部の吸収層の膜厚が厚くなっていることから、反射層から発生する反射光の強度を抑制し、遮光性の高い遮光枠を形成することができる。これらの事から、本実施形態の反射型マスクを用いることで、高い精度で転写パターンを形成することができる。
 (第2の実施形態)
 以下に本発明の第2の実施形態について説明する。
 (本発明の反射型マスクの構成)
 まず、本発明の反射型マスクの構成について説明する。図8(a)~(d)は、本実施形態の反射型マスク101、102、103、104の断面を示している。即ち、本実施形態の反射型マスクの構成は、100、200、300、400のいずれを用いてもよい。図9(a)は、図8(a)~(d)の本発明の反射型マスク101、102、103、104を表面から見た図であり、図9(b)は101、102、103、104の反射率の分布を表面から見た図である。
 図8(a)に示す反射型マスク101は、基板10の表面に、多層反射層50、吸収層70が順次形成されている。図8(b)に示す反射型マスク102は基板10の表面に、多層反射層50、吸収層70が順次形成されていると共に、基板10の裏面に導電膜20が形成された構造となっている。つまり、図8(b)の反射型マスク102は、図8(a)に示す反射型マスク101の基板10の裏面に導電膜20が形成された構造となっている。図8(c)に示す反射型マスク103は、基板10の表面に多層反射層50、緩衝層61、吸収層70が順次形成されている。図8(d)に示す反射型マスク104は、図8(c)に示す反射型マスク103の基板10の裏面に導電膜20が形成された構造となっている。
 図8(a)~(d)の基板10上の多層反射層50の一部は、高温アニール処理によって多層反射層融解部50aとなっており、吸収層70が形成された領域内の他の領域より反射率の低い遮光枠領域90の領域が形成されている。図8(a)~(d)に示す反射型マスク101、102、103、104を表面から見ると図9(a)に示すように、吸収層70に覆われた領域Bと回路パターン領域Aとが形成されている。また、反射率の分布を見ると図9(b)に示すように回路パターン領域Aの外周に遮光枠領域90が形成されており、その遮光枠領域90のEUV光反射率については多重露光が起きても問題にならない程度まで低減されている。
 反射型マスクの反射率の分布は、次のようになる。すなわち、図8(a)~(d)に示すように吸収層70の一部を除去し、多層反射層50を露出させ、吸収層70および多層反射層50で形成される回路パターン領域Aと、多層反射層50に高温アニール処理して形成した遮光枠領域90と、遮光枠領域90の外側を取り囲む形で吸収層70が残る額縁様の領域および遮光枠領域90の内側で、回路パターン領域Aを取り囲む形で吸収層70が残る領域である領域Cの3種類の反射率の分布が得られる。なお、本発明では、遮光枠領域90がマスク(マスクブランク)表面からは見えないため、図9(a)のように目視で見た場合と、図9(b)のように反射率で見た場合で見え方が異なる。
 図9(b)の回路パターン領域Aについては、反射率が60%程度の領域(多層反射層50)と0.5%~2%程度の領域(吸収層70)の繰り返しパターンとなっており、回路パターン領域A全体としてほぼ30%程度となっている。
 (反射型マスクの製造方法)
 次に、本発明の遮光枠領域90を形成するために反射率を抑制した多層反射層融解部50aを形成する方法について説明する。多層反射層50の融解には、アニール装置を用いて短い時間で効率よく遮光枠領域を高温アニール処理することにより実現する。
 高温アニール処理によって、遮光枠領域90を形成する方法は、マスク表面に加工などを施さないため、回路パターン領域形成後でも形成前でもタイミングは問わない。
 回路パターン領域形成前の反射型マスクブランクに対して高温アニール処理した場合の、高温アニール処理後の反射型マスクブランク201、202、203、204の構造を図10(a)~(d)に示す。図11(a)は、反射型マスクブランク201、202、203、204を表面から見た図であり、図11(b)は反射型マスクブランク201、202、203、204の反射率の分布を表面から見た図である。
 次に本発明の高温アニール処理装置について説明する。図12に示すように高温アニール処理装置はアニールランプ95と、シールド96とを含む。シールド96は、遮光枠形成用に遮光枠領域以外に熱が伝わらないように設けられており、遮光枠領域のみに熱処理ができるようになっている。
 また、このアニールランプ95についてはハロゲンランプやキセノンランプなどが挙げられる。この高温アニール処理装置に回路パターン領域Aを形成した反射型マスクまたは回路パターンAを形成する前の反射型マスクブランクである被処理物97を投入し、遮光枠領域90となる領域に高温アニール処理を行うことで、当該領域内の多層反射層50を融解させ、拡散混合させて反射率を下げ遮光枠領域90を形成することができる。
 アニール処理条件は、150℃以上であれば多層反射層50の融解が起きはじめるので、150℃以上で、0.001秒~0.1秒の時間で行うことができる。
 このような短い時間でアニール処理を行うため、遮光枠領域90周辺の領域に与える影響を少なくすることが可能である。
 温度としては、150℃以上であれば良いが、反射型マスク自体に影響の大きい1000℃を越えないことが好ましい。これらの処理条件を調整することで好適に遮光枠領域90を作成することができる。
 多層反射層50の融解(溶融)温度は、多層反射層50を構成する材料によって異なるが、処理温度や処理時間などの処理条件は、材料等に応じて適宜、選択、変更が可能である。
 遮光枠以外への熱による影響を抑制するために、所定以上の高温、所定以下の短時間で処理することが好ましい。また、同一の処理条件による処理を繰り返し行なったり、処理条件を変更しながら複数回処理を行なったりしても良い。
 シールド96の材質としては、アルミナ、セラミック、テフロン(登録商標)など、金属や高分子樹脂等、このアニール処理温度で溶融や変形などを起こさず、熱を遮蔽することができるものを使用することができる。
 (反射型マスクの構成の詳細:多層反射層)
 図10(a)、(b)に示す多層反射層50は、13.5nm近傍のEUV光に対して60%程度の反射率を達成できるように設計されており、モリブデン(Mo)とシリコン(Si)が交互に40~50ペア積層した積層膜で、さらに最上層はルテニウム(Ru)で構成されている。Ru層の下に隣接する層はSi層である。MoとSiが使われている理由は、EUV光に対する吸収(消衰係数)が小さく、且つMoとSiのEUV光での屈折率差が大きいために、SiとMoの界面での反射率を高く出来るためである。多層反射層の最上層のRuは、吸収層の加工におけるストッパーやマスク洗浄時の薬液に対する保護層としての役割を果たしている。
 図10(c)、(d)に示す多層反射層50は、13.5nm近傍のEUV光に対して60%程度の反射率を達成できるように設計されており、MoとSiが交互に40~50ペア積層した積層膜で、最上層はSi層で構成されている。この場合の多層反射層の最上層のSiも、上述したRuと同様の役割を果たす。
 (反射型マスクの構成の詳細:緩衝層)
 図10(c)、(d)に示す緩衝層61は、吸収層70のエッチングやパターン修正時に、緩衝層の下に隣接する多層反射層50の最上層であるSi層を保護するために設けられており、クロム(Cr)の窒素化合物(CrN)で構成されている。なお、緩衝層61は設けなくてもよい。
 (反射型マスクの構成の詳細:吸収層)
 図10(a)~(d)に示す吸収層70は、13.5nm近傍のEUVに対して吸収率の高いタンタル(Ta)の窒素化合物(TaN)で構成されている。他の材料として、タンタルホウ素窒化物(TaBN)、タンタルシリコン(TaSi)、タンタル(Ta)や、それらの酸化物(TaBON、TaSiO、TaO)でも良い。
 図10(a)~(d)に示す吸収層70は、上層に波長190~260nmの紫外光(DUV光)に対して反射防止機能を有する低反射層を設けた2層構造から成る吸収層であっても良い。低反射層は、マスクの欠陥検査機の検査波長に対して、コントラストを高くし、検査性を向上させるためのものである。
 (反射型マスクの構成の詳細:裏面導電膜)
 図10(b)及び図8(d)に示す導電膜20は、一般にはCrNで構成されているが、導電性があれば良いので、金属材料からなる材料であれば良い。
 (反射型マスクの説明)
 本実施形態の反射型マスクの構成を説明する。
 図10(a)に示す反射型マスクブランク201から回路パターン領域を作製した反射型マスク101を図8(a)に示す。図10(b)に示す反射型マスクブランク202から回路パターン領域を作製した反射型マスク102を図8(b)に示す。図10(c)に示す反射型マスクブランク203から回路パターン領域を作製した反射型マスク103を図8(c)に示す。図10(d)に示す反射型マスクブランク204から回路パターン領域を作製した反射型マスク104を図8(d)に示す。いずれも、多層反射層50の上部の吸収層70及び緩衝層61がある場合は緩衝層61、回路パターン領域Aを有する反射型マスクが形成される。そして図8に示す遮光枠領域90については、高温アニール処理により多層反射層50を融解することによって形成され(50a)、回路パターン領域Aを有する反射型マスクが形成される。
 このようにして、EUV光に対する反射率が吸収層領域Cよりも十分に小さい遮光枠領域90を有する反射型マスクを得る。この反射型マスクを用いれば、多重露光が起きてもチップ外周部が感光することを防止でき、個々のチップの品質に悪影響を与えないようにすることができる。
 (反射型マスクブランクから反射型マスクを製造する方法)
 次に、反射型マスクの製造方法について説明する。図10(a)、(b)に示す反射型マスクブランク201もしくは202を用意し、電子線リソグラフィによりレジストパターンを形成後、フルオロカーボンプラズマもしくは塩素プラズマ、必要な場合はその両方のプラズマより吸収層70をエッチングし、レジスト剥離洗浄することで、吸収層70に回路パターン領域Aが形成された、図8(a)(b)に示す反射型マスク101もしくは102を得る。
 あるいは、図10(c)、(d)に示す反射型マスクブランク203もしくは204を用意し、電子線リソグラフィによりレジストパターンを形成後、フルオロカーボンプラズマもしくは塩素プラズマ、必要な場合はその両方のプラズマより吸収層70をエッチングし、次いで塩素プラズマにより緩衝層61をエッチングし、レジスト剥離洗浄することで、吸収層70および緩衝層61に回路パターン領域Aが形成された、図8(c)(d)に示す反射型マスク103もしくは104を得る。
 このようにして、EUV光に対する反射率が吸収層領域よりも十分に小さい遮光枠領域を有する反射型マスクを得る。本実施形態においては、多層反射層を高温アニール処理にて融解し反射率を低減し、遮光枠を形成することから、ドライエッチング等で掘り込む手法かつレーザー照射による反射率低減よりもスループットが高く、比較的工程が容易であるため欠陥面でのマスク品質の低下を防ぐことができる
 (第2の実施形態の第1の実施例)
 以下、本実施形態の反射型マスクブランクおよび反射型マスクの製造方法の実施例を説明する。
 (反射型マスクブランク製造方法の実施例)
 図13(a)に本実施例で用意した低熱膨張ガラス基板110を示す。その後裏面に静電チャッキング用の導電膜120をスパッタリング装置により図13(b)のように形成した。ガラス基板110上に波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMoとSiの40ペア反射層(多層反射層)150を図13(c)のように積層した。続いてTaNからなる吸収層170をスパッタリング装置により形成した(図13(d))。このときの吸収層170の膜厚は50nmとした。次いで、フラッシュランプ方式の遮光枠用シールドを有する高温アニール装置(図12)に基板を入れ、150℃以上で高温アニール処理をすることで多層反射層150の一部を融解した層150aを形成することによって、反射率が吸収層領域よりも十分に小さい遮光枠領域190を有する、図14に示した本発明の反射型マスクブランク205が完成した。
 (反射型マスクの製造方法の実施例)
 実施例1にて作製した図14に示す反射型マスクブランク205から反射型マスク105を作製した(図15)。その作製方法を示す。反射型マスクブランク202に電子線リソグラフィとドライエッチング、レジスト剥離洗浄を行い、吸収層170に回路パターンAを形成し、本実施形態の遮光枠を有する反射型マスク105を作製した。電子線リソグラフィには、化学増幅型ポジレジストFEP171(富士フイルムエレクトニクスマテリアルズ製)を用いて、描画機JBX9000(日本電子製)によってドーズ量15μC/cmで描画した後に、TMAH(水酸化テトラメチルアンモニウム)2.38%現像液によりレジストパターンを形成した。吸収層170のエッチングにはClの誘導結合型プラズマ(ICP、Inductively Coupled Plasma)を適用した。以上より、回路パターン領域を有し、反射率が十分に小さい遮光枠領域を有する本実施例の反射型マスクが完成した(表2)。
 (第2の実施形態の第2の実施例)
 以下、本実施形態の反射型マスクブランクおよび反射型マスクの製造方法の他の実施例を説明する。
 (反射型マスクブランクの製造方法の実施例)
 図16(a)に本実施例で用意した低熱膨張ガラス基板110を示す。その後裏面に静電チャッキング用の導電膜120をスパッタリング装置により図16(b)のように形成した。ガラス基板110上に波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMoとSiの40ペアによる多層反射層150を図16(c)のように積層した。続いてTaNからなる吸収層170をスパッタリング装置により形成した(図16(d))。このときの吸収層170の膜厚は50nmとした。こうして、本発明の反射型マスクブランク206が完成した。
 (反射型マスクの製造方法の実施例)
 本実施例にて作製した図16(d)反射型マスクブランク206から反射型マスク106を作製した(図17(a))。その作製方法を示す。反射型マスクブランク206に電子線リソグラフィとドライエッチング、レジスト剥離洗浄を行い、吸収層170に回路パターンAを形成する。(図17(b))電子線リソグラフィには、化学増幅型ポジレジストFEP171(富士フイルムエレクトニクスマテリアルズ製)を用いて、描画機JBX9000(日本電子製)によってドーズ量15μC/cmで描画した後に、TMAH(Tetramethylammonium hydroxide)2.38%現像液によりレジストパターンを形成した。吸収層170のエッチングにはClの誘導結合型プラズマを適用した。
 回路パターン領域形成後に、この反射型マスクをフラッシュランプ方式の遮光枠用シールドを有する高温アニール処理装置(図12)に入れ、遮光枠領域を150度以上で高温アニール処理し、多層反射層150を融解した層150aを形成することによって、反射率が吸収層領域よりも十分に小さい遮光枠領域190を有する、本実施例の反射型マスク106(図17(a))が完成した。
 表2に本実施例1と2とで得た、反射型マスクについて遮光枠領域190と吸収層(非遮光枠領域C)との反射率を比較した結果を示す。遮光枠領域の反射率が吸収層領域の反射率よりも十分に小さいことが確認できた。
Figure JPOXMLDOC01-appb-T000002
 本発明は上述の実施形態そのままに限定されるものでなく、本発明の趣旨を逸脱しない限り、変形して具体化できる。また、明細書に示される事項の適宜の組み合わせによって種々の発明を想定できるものである。例えば、第1の実施形態に示したように基板の遮光枠領域を掘り込み、その段差分、吸収層の膜厚を厚くし、さらに第2の実施形態に示したように多層反射層の遮光枠領域を高温アニール処理することで、より確実に遮光枠領域の反射率を低減することができる。
 本発明は、反射型マスクブランク、及び反射型マスク等に有用である。
 10、110  基板
 20、120  導電膜
 30  金属膜
 40、80  レジスト膜
 50、150  多層反射層
 50a、150a 多層反射層融解部
 60  保護層
 61  緩衝層
 70、170  吸収層
 90、190  遮光枠領域
 95  アニールランプ
 96  シールド
 97  被処理物
 100、101、102、103、104、105、106  反射型マスク
 200、201、202、203、204、205、206  反射型マスクブランク

Claims (9)

  1.  基板と、
     前記基板上に形成された多層反射層と、
     前記多層反射層の上に形成された吸収層とを含み、
     前記吸収層の膜厚が他の領域の膜厚より大きい枠形状の遮光枠領域を備える反射型マスクブランク。
  2.  前記基板は、前記遮光枠領域において、掘り込まれ、
     前記吸収層の膜厚は、その掘り込み量の分、他の領域より大きい、請求項1に記載の反射型マスクブランク。
  3.  前記遮光枠領域において、前記多層反射層が融解により拡散混合されている、請求項1または2に記載の反射型マスク。
  4.  前記多層反射層と前記吸収層の間にさらに保護層を有する、請求項1~3のいずれかに記載の反射型マスクブランク。
  5.  反射型マスクブランクの製造方法であって、少なくとも下記の工程を含む、反射型マスクブランクの製造方法。
    (1)低熱膨張基板を用意し、前記低熱膨張基板の表面にレジスト膜を形成する工程。
    (2)前記レジスト膜に枠形状の遮光枠パターンの逆パターンを形成する工程。
    (3)前記レジスト膜に形成された逆パターンをマスクに前記低熱膨張基板をエッチングして、前記低熱膨張基板表面に遮光枠領域を形成する工程。
    (4)前記レジスト膜を剥離した後、前記遮光枠領域が形成された低熱膨張基板表面に、多層反射層と保護層と吸収層とをこの順に積層する工程。
    (5)成膜された吸収層の表面を、CMP(Chemical Mechanical Polishing)法により、面一にする工程。
  6.  請求項1~4のいずれかに記載の反射型マスクブランクの前記遮光枠領域の内側の表面に、回路パターンを形成した、反射型マスク。
  7.  反射型マスクの製造方法であって、少なくとも下記の工程を含む、反射型マスクの製造方法。
    (1)請求項1~4のいずれかに記載の反射型マスクブランクを用意し、前記吸収層上にレジスト膜を形成する工程。
    (2)前記レジスト膜をパターニングし、パターニングした前記レジスト膜をマスクとして前記吸収層をエッチングして、回路パターンを形成する工程。
    (3)前記レジスト膜を剥離する工程。
  8.  前記遮光枠領域において、高温アニール処理によって前記多層反射層を融解させ拡散混合させることにより、前記遮光枠領域の反射率を前記遮光枠領域以外の領域の反射率に比べて小さくする工程をさらに含む、請求項7に記載の反射型マスクの製造方法。
  9.  前記高温アニール処理は、ハロゲンランプまたはキセノンランプを用いて行い、前記遮光枠領域以外に熱が伝わらないようにシールドを設け、前記遮光枠領域のみに熱処理を行う、請求項8に記載の反射型マスクの製造方法。
PCT/JP2012/006087 2011-09-28 2012-09-25 反射型マスクブランク及び反射型マスク、その製造方法 WO2013046641A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201280047186.5A CN103858210B (zh) 2011-09-28 2012-09-25 反射型掩模坯、反射型掩模及它们的制造方法
EP12835771.2A EP2763158A4 (en) 2011-09-28 2012-09-25 REFLECTIVE MASK ROLL, REFLECTIVE MASK AND METHOD FOR PRODUCING A REFLECTIVE MASK ROLL AND A REFLECTIVE MASK
KR1020147007828A KR101611775B1 (ko) 2011-09-28 2012-09-25 반사형 마스크 블랭크 및 반사형 마스크, 그 제조 방법
US14/227,705 US9448468B2 (en) 2011-09-28 2014-03-27 Reflective mask blank and reflective mask, and methods for manufacturing reflective mask blank and reflective mask

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011-213244 2011-09-28
JP2011213244 2011-09-28
JP2011214573A JP5803517B2 (ja) 2011-09-29 2011-09-29 反射型マスクおよびマスクブランク、その製造方法
JP2011-214573 2011-09-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/227,705 Continuation US9448468B2 (en) 2011-09-28 2014-03-27 Reflective mask blank and reflective mask, and methods for manufacturing reflective mask blank and reflective mask

Publications (1)

Publication Number Publication Date
WO2013046641A1 true WO2013046641A1 (ja) 2013-04-04

Family

ID=47994724

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/006087 WO2013046641A1 (ja) 2011-09-28 2012-09-25 反射型マスクブランク及び反射型マスク、その製造方法

Country Status (6)

Country Link
US (1) US9448468B2 (ja)
EP (1) EP2763158A4 (ja)
KR (1) KR101611775B1 (ja)
CN (1) CN103858210B (ja)
TW (1) TWI564649B (ja)
WO (1) WO2013046641A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102246876B1 (ko) 2014-10-22 2021-04-30 삼성전자 주식회사 극자외선 리소그래피 장치용 반사형 마스크 및 그 제조방법
IL239577B (en) * 2015-06-22 2020-10-29 Zeiss Carl Smt Gmbh Correction of variation in critical dimension in extreme ultraviolet lithography
EP4120291A3 (en) * 2015-06-30 2023-04-05 Jaiswal, Supriya Coatings for extreme ultraviolet and soft x-ray optics
TWI712849B (zh) * 2017-02-17 2020-12-11 聯華電子股份有限公司 一種極紫外線光罩
TWI710850B (zh) * 2018-03-23 2020-11-21 日商Hoya股份有限公司 光罩、光罩基底、光罩之製造方法、及電子元件之製造方法
KR20210094835A (ko) * 2020-01-22 2021-07-30 삼성전자주식회사 레이저 빔을 이용하여 반사형 포토마스크를 어닐링하는 방법
KR20220123810A (ko) 2021-03-02 2022-09-13 삼성전자주식회사 반사형 포토마스크 및 그 제조 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217097A (ja) * 2001-11-12 2002-08-02 Canon Inc 反射型x線マスク構造体、x線露光装置、x線露光方法ならびに該反射型x線マスク構造体を用いたデバイス作製方法
JP2003338461A (ja) * 2003-06-16 2003-11-28 Canon Inc X線露光装置及び該露光装置を用いたデバイス作製方法
JP2009141223A (ja) * 2007-12-07 2009-06-25 Toshiba Corp 反射型マスク
JP2009212220A (ja) 2008-03-03 2009-09-17 Toshiba Corp 反射型マスク及びその作製方法
WO2010007955A1 (ja) * 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
JP2011044520A (ja) 2009-08-20 2011-03-03 Dainippon Printing Co Ltd 反射型マスクおよびその製造方法
JP2011151202A (ja) * 2010-01-21 2011-08-04 Dainippon Printing Co Ltd 遮光枠を有する反射型マスクおよびその製造方法
JP2012209398A (ja) * 2011-03-29 2012-10-25 Toppan Printing Co Ltd 反射型マスクブランク及び反射型マスク、その製造方法
JP2012209481A (ja) * 2011-03-30 2012-10-25 Toppan Printing Co Ltd 反射型マスクブランク、及び反射型マスクブランクの製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5536603A (en) * 1993-12-21 1996-07-16 Kabushiki Kaisha Toshiba Phase shift mask and method of fabricating the same
US6368942B1 (en) * 2000-03-31 2002-04-09 Euv Llc Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer
KR20070086692A (ko) * 2002-04-11 2007-08-27 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법
TWI375114B (en) * 2004-10-22 2012-10-21 Shinetsu Chemical Co Photomask-blank, photomask and fabrication method thereof
TWI444757B (zh) * 2006-04-21 2014-07-11 Asahi Glass Co Ltd 用於極紫外光(euv)微影術之反射性空白光罩
WO2008084680A1 (ja) * 2006-12-27 2008-07-17 Asahi Glass Company, Limited Euvリソグラフィ用反射型マスクブランク
JP5136647B2 (ja) * 2008-09-05 2013-02-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
KR101096248B1 (ko) * 2009-05-26 2011-12-22 주식회사 하이닉스반도체 극자외선 위상반전마스크의 제조 방법
KR20110122928A (ko) * 2010-05-06 2011-11-14 삼성전자주식회사 반사형 극자외선 마스크 및 그의 제조 방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217097A (ja) * 2001-11-12 2002-08-02 Canon Inc 反射型x線マスク構造体、x線露光装置、x線露光方法ならびに該反射型x線マスク構造体を用いたデバイス作製方法
JP2003338461A (ja) * 2003-06-16 2003-11-28 Canon Inc X線露光装置及び該露光装置を用いたデバイス作製方法
JP2009141223A (ja) * 2007-12-07 2009-06-25 Toshiba Corp 反射型マスク
JP2009212220A (ja) 2008-03-03 2009-09-17 Toshiba Corp 反射型マスク及びその作製方法
WO2010007955A1 (ja) * 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
JP2011044520A (ja) 2009-08-20 2011-03-03 Dainippon Printing Co Ltd 反射型マスクおよびその製造方法
JP2011151202A (ja) * 2010-01-21 2011-08-04 Dainippon Printing Co Ltd 遮光枠を有する反射型マスクおよびその製造方法
JP2012209398A (ja) * 2011-03-29 2012-10-25 Toppan Printing Co Ltd 反射型マスクブランク及び反射型マスク、その製造方法
JP2012209481A (ja) * 2011-03-30 2012-10-25 Toppan Printing Co Ltd 反射型マスクブランク、及び反射型マスクブランクの製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2763158A4

Also Published As

Publication number Publication date
TWI564649B (zh) 2017-01-01
CN103858210B (zh) 2016-07-06
US9448468B2 (en) 2016-09-20
KR101611775B1 (ko) 2016-04-11
TW201319726A (zh) 2013-05-16
CN103858210A (zh) 2014-06-11
EP2763158A4 (en) 2015-12-30
KR20140053374A (ko) 2014-05-07
EP2763158A1 (en) 2014-08-06
US20140212795A1 (en) 2014-07-31

Similar Documents

Publication Publication Date Title
JP4602430B2 (ja) 反射型マスク及びその作製方法
WO2013046641A1 (ja) 反射型マスクブランク及び反射型マスク、その製造方法
WO2013027412A1 (ja) 反射型マスクおよびその製造方法
JP5790073B2 (ja) 反射型マスクブランクの製造方法
JP5990961B2 (ja) 反射型マスク
JP2014197628A (ja) Euv露光用マスクおよびeuv露光用マスクの製造方法
JP5742300B2 (ja) 反射型マスクブランク及びその製造方法、反射型マスク
JP5803517B2 (ja) 反射型マスクおよびマスクブランク、その製造方法
JP5909964B2 (ja) 反射型マスクブランクおよび反射型マスク
JP5970910B2 (ja) 反射型マスクの製造方法
JP5754592B2 (ja) 反射型マスクの製造方法および反射型マスク
JP2014183075A (ja) 反射型マスクおよびその製造方法
JP6260149B2 (ja) 反射型マスクブランクおよび反射型マスク
JP2014232844A (ja) 反射型マスクの製造方法
US20170306475A1 (en) Reflective mask, reflective mask blank, and manufacturing method therefor
JP2017227702A (ja) 反射型フォトマスク
JP2015141972A (ja) Euvマスクおよびeuvマスクの製造方法
JP5796307B2 (ja) 反射型マスクブランク、及びその製造方法
JP2013243354A (ja) 半導体回路の露光方法及び露光装置
JP2016134472A (ja) 反射型マスクブランク、その製造方法および反射型マスク
JP6281205B2 (ja) 反射型マスク
JP5765666B2 (ja) 反射型マスク
JP2018005108A (ja) 反射型フォトマスクブランクおよび反射型マスク
US9921465B2 (en) Reflective mask, reflective mask blank and manufacturing method therefor
JP2016164691A (ja) 反射型フォトマスクおよびその製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12835771

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147007828

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2012835771

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE