WO2010140778A2 - 막증착 진공장비용 샤워헤드 - Google Patents

막증착 진공장비용 샤워헤드 Download PDF

Info

Publication number
WO2010140778A2
WO2010140778A2 PCT/KR2010/003024 KR2010003024W WO2010140778A2 WO 2010140778 A2 WO2010140778 A2 WO 2010140778A2 KR 2010003024 W KR2010003024 W KR 2010003024W WO 2010140778 A2 WO2010140778 A2 WO 2010140778A2
Authority
WO
WIPO (PCT)
Prior art keywords
reactor
gas
showerhead
module
showerhead module
Prior art date
Application number
PCT/KR2010/003024
Other languages
English (en)
French (fr)
Other versions
WO2010140778A3 (ko
Inventor
변철수
한만철
정일용
이석우
Original Assignee
(주)피에조닉스
한국생산기술연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)피에조닉스, 한국생산기술연구원 filed Critical (주)피에조닉스
Priority to US13/375,434 priority Critical patent/US9315897B2/en
Priority to DE112010002199.6T priority patent/DE112010002199B4/de
Publication of WO2010140778A2 publication Critical patent/WO2010140778A2/ko
Publication of WO2010140778A3 publication Critical patent/WO2010140778A3/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Definitions

  • the present invention relates to a showerhead for membrane deposition vacuum equipment, and more particularly, to uniformly and stably supply a process gas onto a substrate in a membrane deposition process such as chemical vapor deposition.
  • the present invention relates to a showerhead for film deposition vacuum equipment, which can improve the formation quality and also prevent unwanted particle deposition.
  • the reactant gas and the spray support gas are mixed in the shower head to spray the substrate.
  • purge gas onto the substrate, it is possible to maximally prevent the reactor body from despreading toward the showerhead and to prevent unwanted particle deposition from the reactant and by-product gases at the showerhead bottom.
  • the reactor means the raw material gas containing the film component to be deposited
  • the injection support gas is used for the purpose of controlling the injection speed of the reactant is mixed with the reactor in the shower head and easily It is a kind of gas that is difficult to cause chemical reactions, and usually inert gas.
  • purge gas is a gas used to control the overall flow rate of the process gas and to prevent contamination of the shower head, not necessarily an inert gas, and may include the chemical composition of the film to be deposited, but may be decomposed or showerhead itself.
  • Ar, N 2 , O 2 , He, H 2 , NH 3 , AsH 3 , PH 3, and the like are kinds of gases that are hard to leave deposits within.
  • this purge gas must be sprayed very evenly, otherwise undesired deposition may still occur on the shower head portion and adversely affect deposition uniformity. Therefore, it is very important to spray the purge gas evenly.
  • FIG. 1 shows the configuration of the showerhead disclosed in Publication 1, in which two reactor showerhead modules 110 and 120 and one purge gas showerhead module 130 and a cooling jacket 140 are shown from top to bottom.
  • Figure 2 showing a detailed view around the guide tubes (131, 141) through which the reactor injection tube 111 of the reactor showerhead module 110 in the cooling jacket 140, purge gas shower If there is little space between the head module 130 and the cooling jacket 140, the purge gas outlet through which the purge gas injection port 132 and the reactor gas injection tube 111 provided at the bottom of the purge gas shower head module 130 pass.
  • the purge gas By simply aligning the 133 to the guide tubes 141 and 142 of the cooling jacket 130, it is difficult for the purge gas to be evenly distributed to the guide tubes 141 and 142 and sprayed toward the substrate.
  • the presence of ⁇ l may cause inconvenience such as difficulty in bending or reassembling the tube during maintenance, as well as during the manufacture of the showerhead.
  • the longer the length of the reactor injection tube (111,121) the longer the distance to reach the substrate from the reactor showerhead module (110, 120) to the substrate, which may be caused by a problem, for example due to a larger pressure loss Problems include the difficulty of smooth supply of gas, or the presence of reactive gas in the previous process step inside the showerhead when depositing multiple layers of film.
  • the process gas supply pipe flowing into the reaction chamber is used as it is, but through the process gas supply pipe through the operation of the valve. Only the process gas to be supplied is changed, i.e., switching, but the changed process gas may flow back to the previous process gas and exist in the previous process gas supply, and the contamination due to the common use of different process gases with different process gas supply pipes. Development problems have always existed. Therefore, it is preferable to use a dedicated process gas supply pipe for one process gas.
  • TMG which is a raw material of Ga in the deposition of GaN films for LEDs by process gases having different properties, for example, metal organic chemical vapor deposition (MOCVD), in a showerhead that uniformly injects process gases onto a substrate.
  • MOCVD metal organic chemical vapor deposition
  • Methyl Gallium (NM) process gas and NH 3 process gas which is a raw material of N (nitrogen) are mixed with each other in the showerhead, causing unwanted gas phase reactions in the showerhead, resulting in particles.
  • Figure 1 showing the configuration of the known document 1 shows a related art in this regard. That is, the first reactor introduced into the interior through the inlet 112 of the reactor showerhead module 110 located above is injected into the interior through another inlet 113 to control the injection speed of the reactor. Mixed with the support gas, the mixed gas is passed through the reactor gas injection tube 111 along the guide tube 122 and across the inside of the reactor fluid showerhead module 120 below without mixing with the second reactor. It is sprayed toward a substrate (not shown) lying in the reaction chamber.
  • the structure of the showerhead may be very complicated as the number of the reactor bodies for supplying the constituent elements of the membrane increases.
  • two reactor showerhead modules 110 and 120 are stacked in a height direction.
  • the continuous increase in the number of reactor showerhead modules 110 and 120 to be stacked is an assembly phase.
  • the space allocated in the reaction chamber can be a problem.
  • the present invention is to solve the above conventional problems, the object is to provide a purge gas redistribution space in the upper portion of the cooling jacket, and to arrange the reactor shower head module on the cooling jacket, purge gas on the reactor shower head module
  • the showerhead module By arranging the showerhead module to shorten the length of the reactor injection tube connected from the bottom of the reactor showerhead module, inconvenience such as bending of the tube or difficulty in reassembly during maintenance of the showerhead as well as during the maintenance of the showerhead module can be avoided. Eliminates problems such as difficulty in smooth supply of the reactor due to pressure loss and the possibility of remaining reactor inside the showerhead first in the process step when depositing multiple layers of film.
  • Another object of the present invention is that even if they are mixed with each other in the reactor shower head module does not cause a chemical reaction, the particles are very low in the fear of particle generation and the reaction properties similar to each other can be mixed and sprayed in one reactor shower head module.
  • the reactor body has a shower head module having an inlet and the injection tube for injecting the reactor body;
  • a purge gas showerhead module having an inlet through which the purge gas is introduced and an injection hole for injecting the purge gas;
  • a cooling jacket having an inlet and an outlet through which coolant is introduced and discharged, and having a purge gas redistribution space thereon, wherein the showerhead module for disposing a reactive gas showerhead is disposed on the cooling jacket.
  • a purge gas showerhead module is disposed on the reactor showerhead module, such that the injection tube of the reactor showerhead module passes through the cooling jacket below, and the purge gas injected from the purge gas showerhead module is the reactor shower.
  • the reactor and the purge gas is introduced into the purge gas redistribution space on the cooling jacket through the reactor shower head module without being in contact with the reactor inside the head module.
  • Film deposition that penetrates into the substrate without contacting the internal coolant Chapters are characterized in the cost of the shower head.
  • the present invention is further disposed between the reactor showerhead module and another reactor showerhead module between the purge gas showerhead module, the purge gas injected from the above-described reactor showerhead module is the plurality of reactor showerhead It features a showerhead for membrane deposition vacuum equipment that penetrates the module and cooling jacket.
  • the present invention further arranges another reactor showerhead module on the purge gas showerhead module, and the injection tube of the above-described reactor showerhead module is the purge gas showerhead module, the reactor showerhead module and the cooling jacket below. It is characterized by a shower head for the film deposition vacuum equipment penetrating through.
  • the reactor showerhead module of the present invention by forming a first and second intermediate plate between the top plate and the bottom plate, a plurality of reactor body through a plurality of inlets into the space between the top plate and the first intermediate plate Is injected into the space between the first intermediate plate and the second intermediate plate, the injection support gas is introduced through a single inlet, the plurality of spaces in the mixing chamber which is a space between the second intermediate plate and the bottom plate And a showerhead for membrane deposition vacuum equipment in which a reactor gas and the injection support gas are mixed with each other to form a mixed gas.
  • the reactor showerhead module of the present invention by forming a first to third intermediate plate between the top plate and the bottom plate, the reactor is introduced into the space between the top plate and the first intermediate plate through one inlet As the space between the first intermediate plate and the second intermediate plate, a reactor and a different kind of reactor are introduced through one inlet, and the space between the second intermediate plate and the third intermediate plate Injecting the support gas through a single inlet, the film deposition is formed so that the two kinds of the reaction medium and the injection support gas is mixed with each other to form a mixed gas in the mixing chamber which is a space between the third intermediate plate and the bottom plate It features a shower head for vacuum equipment.
  • the reactor showerhead module is disposed on the cooling jacket, and the purge gas showerhead module is disposed on the reactor showerhead module, such that the injection tube of the purge gas showerhead module As the purge gas is introduced into the purge gas redistribution space on the cooling jacket through the shower head module, the length of the reactor gas injection tube connected from the bottom of the shower head module can be shortened. This eliminates inconveniences such as bending of the tube or difficulty in reassembly during maintenance, as well as during the manufacturing of the showerhead, and provides a smooth supply of the reactor due to pressure loss due to the length of the reactor injection tube. Problems such as difficulty in the deposition or the deposition of several layers of the film may first of all solve the problem that the reactant in the process step may remain inside the showerhead.
  • the present invention because it is possible to enter a plurality of the reactor through a plurality of inlet port provided in one reactor shower head module, even if they are mixed with each other does not cause a chemical reaction is extremely low and the nature of the particles are very similar
  • the reactor may be mixed and sprayed in one reactor showerhead module. This eliminates the need to increase the number of reactor showerhead modules even when the number of reactors increases in the film deposition process, thereby eliminating the overall configuration and assembly problems of the showerhead and eliminating the problems caused by space limitations in the reaction chamber. It is effective.
  • FIG. 1 is a cross-sectional view showing a conventional shower head.
  • FIG. 2 is a detail view of the portion “A” of FIG. 1.
  • FIG. 2 is a detail view of the portion “A” of FIG. 1.
  • Figure 3 is a cross-sectional view showing another conventional shower head.
  • FIG. 4 is a detailed view of the portion “B” of FIG. 3.
  • FIG. 5 is a cross-sectional view illustrating a shower head according to a first embodiment of the present invention.
  • FIG. 6 is a cross-sectional view illustrating a shower head according to a second embodiment of the present invention.
  • FIG. 7 is a cross-sectional view illustrating a shower head according to a third embodiment of the present invention.
  • FIG. 8 is a cross-sectional view showing a reactor body showerhead module of a showerhead according to a fourth embodiment of the present invention.
  • Fig. 9 is a cross-sectional view showing another modification of the reactor body showerhead module of the showerhead according to the fourth embodiment of the present invention.
  • FIG. 10 is a cross-sectional view illustrating a reactive gas showerhead module of a showerhead according to a fifth embodiment of the present invention.
  • Figure 5 shows a first embodiment of the showerhead for membrane deposition vacuum equipment according to the present invention, one purge gas showerhead module 10, one reactor head showerhead module 20 and one cooling jacket 30, respectively It shows a shower head provided.
  • the showerhead of the first embodiment includes one purge gas showerhead module 10 and one reactor showerhead module from above, assuming that one type of reactor gas and one purge gas are used. 20) and the cooling jacket 30 are sequentially stacked in the vertical direction.
  • the purge gas showerhead module 10 forms an intermediate plate 13 between the upper plate 11 and the bottom plate 12, and enters the inlet 14 into the space between the upper plate 11 and the intermediate plate 13.
  • the purge gas is introduced through the diffusion gas, and the purge gas introduced into the space flows through a plurality of holes 13a formed in the intermediate plate 13, and is a diffusion chamber that is a space between the intermediate plate 13 and the bottom plate 12. 15 is introduced and diffused, and is uniformly sprayed through a plurality of purge gas injection tube 16 is sealed to a plurality of injection holes 161 formed in the bottom plate (12).
  • the reactor fluid showerhead module 20 forms first and second intermediate plates 23 and 24 between the upper plate 21 and the bottom plate 22 to form the upper plate 21 and the first intermediate plate 23.
  • Reactor fluid is introduced into the space between the through the inlet port 25, the injection support gas through the inlet port 26 into the space between the first intermediate plate 23 and the second intermediate plate 24
  • the mixing chamber 27 which is a space between the second intermediate plate 24 and the bottom plate 22, the reactive gas and the injection support gas are mixed.
  • the reactor body entering the space between the upper plate 21 and the first intermediate plate 23 is sealed in a plurality of holes drilled in the first intermediate plate 23 to connect the connection pipe 28 connected to the mixing chamber 27.
  • the injection support gas flowing into the mixing chamber 27 and entering the space between the first intermediate plate 23 and the second intermediate plate 24 is provided with a plurality of holes 24a drilled in the second intermediate plate 24. It enters into the mixing chamber 27 through.
  • the mixing gas and the injection support gas are mixed with each other to form a mixing gas, and the mixing gas is a plurality of reaction gas injection tubes 29 sealedly connected to a plurality of holes formed in the bottom plate 22. Evenly distributed).
  • the cooling jacket 30 maintains the temperature of the showerhead at a constant temperature, for example 150-200 ° C., so that the reactor body is thermally decomposed to cause unwanted deposition on the showerhead inside and on the surface due to excessively high temperature. It is composed of a top plate 31 and a bottom plate 32 to prevent the occurrence, and a plurality of guide pipes 33 for passing the reactor gas injection tube 29 between the top plate 31 and the bottom plate 32. ) Is sealed.
  • Coolant injected in through the inlet 34 of the cooling jacket 30 passes through the internal space and finally exits the reaction chamber (not shown) through the outlet 35.
  • the coolant any of compressed air and water may be used, but it is very important that the coolant does not leak from the cooling jacket 30 toward the reaction chamber.
  • the injection tube 29 of the reactor shower head module 20 protrudes from the bottom plate 32 of the cooling jacket 30 through the guide tube 33 of the cooling jacket 30 below the reactor body Is injected to the substrate, the purge gas injection tube 16 of the purge gas shower head module 10 passes through the reactor shower head module 20 below the reaction fluid shower head module 20 Connected to the purge gas redistribution space 36 between the bottom plate 22 of the cooling jacket 30 and the top plate 31 of the cooling jacket 30 to spread the purge gas uniformly once again in the purge gas redistribution space 36, and then to the cooling jacket.
  • the connecting tube 37 may be omitted.
  • the configuration according to the first embodiment of the present invention includes a purge gas redistribution space between the bottom plate 22 of the reactor showerhead module 20 and the top plate 31 of the cooling jacket 30, as shown in FIG. 5. 36 is provided, and the purge gas showerhead module 10 is disposed on the reactor showerhead module 20.
  • the length of the reactor gas injection tube 29 represented by “L2” is shorter than the length of the reactor gas injection tubes 111 and 121 represented by “L1" in FIG.
  • the length of the reactive gas injection tube 29 is shortened, inconveniences such as bending of the tube or difficulty in reassembling can be solved not only during the manufacturing step of the shower head but also during maintenance, and the reactive gas injection tube 29
  • the pressure loss due to the longer length of the solution makes it difficult to supply the reactant smoothly, or that the reactant in the previous process step may remain inside the showerhead when depositing multiple layers of films. can do.
  • the end of the purge gas injection tube 16 connected to the plurality of purge gas injection holes 161 formed on the bottom plate 12 of the purge gas showerhead module 10 reaches into the purge gas redistribution space 36.
  • the length of the purge gas injection tube 16 is not necessarily long according to this, the purge gas injection tube 16 is sealed in the reactor shower head module 20 which is lower than that.
  • the purge gas injection hole 161 of the purge gas shower head module 10 may be aligned with the guide tube 20a of the head module 20 or the purge gas shower head module 10 may not be installed separately. The same operation can be performed only by aligning with the guide tube 20a of the module 20.
  • Figure 6 shows a second embodiment of the showerhead for membrane deposition vacuum equipment according to the present invention, in the above-described first embodiment, between the purge gas showerhead module 10 and the reactor showerhead module 20
  • Another reactor fluid showerhead module 40 is further disposed therein, and the injection tube 49 of the reactor fluid showerhead module 40 above is connected to the guide tube 20b of the reactor fluid showerhead module 20 below.
  • the injection tube 16 of the purge gas showerhead module 10 passes through the guide tube 30a of the cooling jacket 30 and the guide tubes 40a,
  • the purge gas redistribution space is connected to the purge gas redistribution space 36 between the bottom plate 22 of the reactor showerhead module 20 and the top plate 31 of the cooling jacket 30 through the passage 20a.
  • (36) it is comprised so that it may spread uniformly once again,
  • the other structure is a structure of 1st Embodiment mentioned above. Is the same as
  • the reactor injection tube 49 of the above-described reactor showerhead module 40 is long and Since the reactor fluid injection tube 29 of the reactor fluid showerhead module 20 is formed to have a short length, the pressure of the reactor fluid showerhead module 40 having the long injection tube 49 is increased. Even if it is lowered, the reactor which does not interfere with the process performance is introduced, and the reactor showerhead module 20 having the short injection tube 29 is introduced therein with the reactor which interferes with the process performance when the pressure loss is lowered. Can be used.
  • FIG. 7 shows a third embodiment of a showerhead for membrane deposition vacuum equipment according to the present invention.
  • another reactor-type showerhead module 50 on the purge gas showerhead module 10 is shown.
  • the injection tube 59 of the upper reactor gas showerhead module 50 is formed in the lower purge gas showerhead module 10, the reactor shower head module 20, and the cooling jacket 30. It is comprised so that one guide tube 10a, 20b, and 30a may pass through, and the other structure is the same as that of the above-mentioned 1st Embodiment.
  • the configuration of the third embodiment can be usefully used when the number of the reactors is increased during the film deposition process, and the injection of the reactors of the reactor showerhead module 50 above. Since the tube 59 is formed longer than the length of the reactor injection tube 29 of the reactor showerhead module 20 below, the upper reactor fluid showerhead module 50 having the longer injection tube 59 is provided. Even if the pressure loss is lowered, the reactor body which does not interfere with the process performance is introduced, and when the pressure loss is lowered to the reactor head of the showerhead module 20 having the short injection tube 29, the process performance is impeded. It can be used by introducing the reactant.
  • FIG. 8 shows a fourth embodiment of the showerhead for membrane deposition vacuum equipment according to the present invention.
  • the top plate 21 and the first intermediate plate of the reactor showerhead module 20 are shown.
  • a plurality of reactor bodies are introduced into the spaces between the plurality of inlets 25a and 25b, and one inlet is provided as a space between the first intermediate plate 23 and the second intermediate plate 24.
  • Injecting the injection support gas through the (26), the plurality of reactor bodies and the injection support gas is mixed with each other in the mixing chamber 27 which is a space between the second intermediate plate 24 and the bottom plate 22 It is comprised so that a gas may be formed and the other structure is the same as that of the above-mentioned 1st Embodiment.
  • the plurality of reactors can be introduced through the plurality of inlets 25a and 25b provided in the reactor showerhead module 20, thereby increasing the number of reactors in the membrane deposition process.
  • the overall configuration and assembly problems of the showerhead can be solved without increasing the number of the reactor showerhead modules 20, and the problems due to the space limitations in the reaction chamber can be solved.
  • the reactors introduced through the inlets 25a and 25b of the showerhead module 20 do not cause chemical reactions even if they are mixed with each other, and thus have similar properties to the reactors having very little property of particle generation. As a result, it is preferable to mix and spray in one reactor showerhead module 20.
  • the reactor body showerhead module 20 includes first and second intermediate plates between the top plate 21 and the bottom plate 22. 23 and 24, injection support gas is introduced into a space between the upper plate 21 and the first intermediate plate 23 through one inlet 26, and the first intermediate plate 23 and the first intermediate plate 23.
  • injection support gas is introduced into a space between the upper plate 21 and the first intermediate plate 23 through one inlet 26, and the first intermediate plate 23 and the first intermediate plate 23.
  • a plurality of reactor bodies are introduced through the plurality of inlets 25a and 25b, and the mixing is the space between the second intermediate plate 24 and the bottom plate 22.
  • the plurality of reactor bodies and the injection support gas in the chamber 27 may be mixed with each other to form a mixed gas.
  • FIG. 10 shows a fifth embodiment of the showerhead for membrane deposition vacuum equipment according to the present invention.
  • the top plate 21 and the bottom plate of the reactive showerhead module 20 are shown.
  • the first to third intermediate plates 23a, 23b, 23c are formed between the reactor plates through one inlet 26a as a space between the upper plate 21 and the first intermediate plate 23a.
  • the second intermediate Is introduced into the space between the first intermediate plate (23a) and the second intermediate plate (23b) through the one inlet port (26b) and a different kind of reaction medium is introduced, the second intermediate
  • the injection support gas flows into the space between the plate 23b and the third intermediate plate 23c through one inlet 26c, and is a space between the third intermediate plate 23c and the bottom plate 22.
  • the mixing chamber 27 the two kinds of the reactor gas and the injection support gas are mixed with each other to form a mixed gas.
  • one reactor body that enters the space between the upper plate 21 and the first intermediate plate 23a is sealed in a plurality of holes drilled in the first intermediate plate 23a and connected to the mixing chamber 27.
  • Another reactor gas flowing into the mixing chamber 27 through the 28a and entering the space between the first intermediate plate 23a and the second intermediate plate 23b is formed in the second intermediate plate 23b.
  • the two kinds of the reactor gas and the injection support gas are mixed with each other to form a mixed gas, and the rest of the configuration is the same as that of the first embodiment described above.
  • the same operation as in the above-described fourth embodiment can be performed, and the space into which the reactor fluid flows in and the injection support gas flows into the reactor showerhead module 20. It is obvious that the upper and lower positions of may be reversed as in the case of FIGS. 8 and 9.
  • the present technology can be widely used in the manufacture of energy devices based on multi-component compound semiconductors such as LEDs or solar cells, and can be effectively used in the manufacture of relatively thick piezoelectric films made of PZT in inkjet printer heads. .

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반응기체 샤워헤드 모듈의 바닥으로부터 연결되는 반응기체 분사튜브의 길이를 짧게 형성할 수 있고, 하나의 반응기체 샤워헤드 모듈 내에서 서로 다른 두 종류의 반응기체를 분사지원 기체와 혼합하여 분사할 수 있도록 하는 막증착 진공장비용 샤워헤드를 개시한다. 본 발명의 막증착 진공장비용 샤워헤드는, 냉각 자켓 위에 반응기체 샤워헤드 모듈을 배치하고, 상기 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈을 배치하여, 상기 반응기체 샤워헤드 모듈의 분사튜브는 아래의 상기 냉각 자켓을 관통하고, 상기 퍼지기체 샤워헤드 모듈의 분사튜브는 아래의 상기 반응기체 샤워헤드 모듈을 관통하여 상기 냉각 자켓 위의 퍼지기체 재분배 공간으로 퍼지기체가 유입되도록 구성된다.

Description

[규칙 제26조에 의한 보정 05.07.2010] 막증착 진공장비용 샤워헤드
본 발명은 막증착 진공장비용 샤워헤드에 관한 것으로, 더욱 상세하게는 화학기상증착(chemical vapor deposition)과 같은 막증착 공정에 있어서 기판상에 공정 기체(process gas)를 균일하고 안정되게 공급함으로써 막 형성 품질을 향상시키고 또한 원하지 않는 입자 침착을 방지할 수 있도록 한 막증착 진공장비용 샤워헤드에 관한 것이다.
반도체 소자의 소자 고집적화가 진행되면서 막증착 공정을 포함하는 반도체 소자의 제조에 있어서 보다 정밀하게 공정 조건 및 환경을 조절할 필요성이 커지고 있으며, 또한 기판(wafer)의 대구경화가 계속되면서 기판과 기판 사이의 공정 균일성 확보와 함께 기판 내 공정 균일성을 확보하기 위한 노력, 즉, 기판 전면에 걸쳐 균일한 공정 결과가 이루어지도록 하기 위한 노력이 계속되고 있다.
특히 기판 위에 막을 증착하는 화학기상증착(chemical vapor deposition)과 막증착 공정에 있어서 공정 기체(process gas)를 공급하는 장치로 샤워헤드(showerhead)를 가지는 장비에서도 공정 균일성 향상을 위한 구조 변화가 연구되고 있으며, 최근 반응기체의 분사속도를 조절하여 증착 막에서의 조성비 조절을 자유롭게 하기 위한 시도가 대한민국 특허 0849929호(이하, 공지문헌 1이라 함.)에 소개되어 있다.
공지문헌 1에서는 반응기체와 분사지원 기체를 샤워헤드 안에서 혼합하여 기판으로 분사하게 된다. 또한 퍼지기체를 별도로 기판을 향해 분사함으로써 반응기체가 샤워헤드쪽으로 역확산하는 것을 최대한 방지하고 샤워헤드 바닥에서 반응기체 및 부산물 기체로부터의 원치 않는 입자 증착을 최대한 방지할 수 있다. 또한 반응기체 분사튜브의 끝부분을 퍼지기체가 감싸고 분사되게 함으로써 반응기체 분사튜브의 끝부분에서의 링 형상의 오염을 방지하는 효과를 얻을 수 있다.
여기서 반응기체라 함은 증착되는 막 성분을 포함하는 원료물 기체를 말하며, 분사지원 기체라 함은 샤워헤드 내에서 반응기체와 혼합되어 반응기체의 분사속도를 조절하기 위한 목적으로 사용되되 반응기체와 쉽게 화학반응을 일으키기 힘든 종류의 기체로서 대개 불활성 기체가 이에 속한다. 또한 퍼지기체라 함은 공정기체의 전체 유량을 조절하고 샤워헤드의 오염을 방지하기 위해 사용되는 기체로서 반드시 불활성 기체만 이에 속하는 것은 아니며, 증착되는 막의 화학성분을 포함할 수도 있지만 스스로는 분해되거나 샤워헤드 내에서 증착물을 남기기 힘든 종류의 기체로서 Ar, N2, O2, He,H2, NH3, AsH3, PH3등이 이에 속한다.
그런데 이 퍼지기체는 매우 균등하게 분사되어야 하는데 그렇지 못하면 샤워 헤드 일부분에 여전히 원치 않는 증착이 일어날 수 있으며 증착 균일도에도 나쁜 영향을 미칠 수 있다. 따라서 퍼지기체를 균등하게 분사하는 것은 매우 중요하다.
도 1은 공지문헌 1에 개시된 샤워헤드의 구성을 나타낸 것으로, 도시된 바와 같이 2개의 반응기체 샤워헤드 모듈(110,120)과 1개의 퍼지기체 샤워헤드 모듈(130) 및 냉각 자켓(140)이 위에서 아래로 차례로 쌓인 경우, 냉각 자켓(140)에서 반응기체 샤워헤드 모듈(110)의 반응기체 분사튜브(111)가 통과하는 안내관(131,141) 주위의 상세도를 보여주는 도 2에서와 같이, 퍼지기체 샤워헤드 모듈(130)과 냉각 자켓(140) 사이에 공간이 거의 없다면, 퍼지기체 샤워헤드 모듈(130) 바닥에 마련되어 있는 퍼지기체 분사구(132)와 반응기체 분사튜브(111)가 통과하는 퍼지기체 출구(133)를 단순히 냉각 자켓(130)의 안내관(141,142)에 각각 정렬시키는 것만으로는 퍼지기체가 매우 균등하게 안내관(141,142)에 배분되어 기판 쪽으로 분사되기는 어렵다.
한편 이러한 문제점을 해결하기 위해 대한민국 공개특허 10-2007-0112354호(이하, 공지문헌 2라 함.)에서는 도 3 및 도 4에 도시된 바와 같이, 퍼지기체 샤워헤드 모듈(130)과 냉각 자켓(140) 사이에 “h"로 표시되는 소정의 두께를 가지는 퍼지기체 재분배 공간(143)을 마련하고 있지만, 공지문헌 2에서는 반응기체 샤워헤드 모듈(110,120) 바닥에 연결되어 있는 반응기체 분사튜브(111,121)의 길이(도 3에서 ℓ1)는 최소한 퍼지기체 샤워헤드 모듈(130)의 높이(도 3에서 △ℓ) 보다는 길어야 하며, 여러 개의 반응기체 샤워헤드 모듈(110,120)이 퍼지기체 샤워헤드 모듈(130) 위로 계속 쌓이게 되면 반응기체 샤워헤드 모듈(110,120) 바닥에 연결되는 반응기체 분사튜브(111,121)가 더욱 길어지게 된다.
이와 같이 기본적으로 포함해야 하는 △ℓ의 존재는 샤워헤드의 제작 단계뿐 아니라 유지 보수 중에서도 튜브의 휨, 혹은 재조립의 어려움과 같은 불편함을 일으킬 수 있다. 또한 반응기체 분사튜브(111,121)의 길이가 길어짐에 따라 반응기체 샤워헤드 모듈(110,120)로부터 기판까지 도달하기 위해 보다 먼 거리를 이동해야 하므로 인해 야기될 수 있는 문제, 가령 보다 큰 압력 손실로 인해 반응기체가 원활한 공급이 곤란해지는 점, 혹은 여러 층의 막을 증착할 때 이전 공정 단계에서의 반응기체가 샤워헤드 내부에 잔류할 수 있는 점 등이 문제될 수 있는 것이다.
또한, 일반적인 막증착 진공장비에 있어서, 반응실(미도시)로 유입되는 반응기체의 종류가 바뀌어야 할 때에는 반응실로 유입되는 공정 기체 공급배관은 그대로 사용하되 밸브의 조작을 통해 공정 기체 공급배관을 통해 공급되는 공정 기체만 바꾸어서, 즉 스위칭하는 방법을 써왔으나 바뀐 공정 기체가 이전 공정 기체쪽으로 역류하여 이전 공정 기체 공급쪽에 존재할 가능성이 있으며, 공정 기체 공급배관이 서로 다른 공정 기체를 공통으로 사용함으로 인한 오염발생 문제가 항상 존재해 왔다. 따라서 하나의 공정 기체에 대해서는 전용의 공정기체 공급배관을 사용하는 것이 바람직하다.
한편, 공정 기체를 기판으로 균일하게 분사하는 역할을 하는 샤워헤드 내에서 서로 다른 성질을 가지는 공정 기체, 가령 금속유기화학기상증착(MOCVD)에 의한 LED용 GaN 막 증착에서 Ga의 원료물인 TMG(Tri-Methyl Gallium) 공정기체와 N(질소)의 원료물인 NH3 공정 기체가 샤워헤드 안에서 서로 혼합되면 샤워헤드 안에서 원치 않는 기상반응을 일으켜서 파티클을 발생할 우려가 있다.
따라서 이런 경우에는 샤워헤드 안에서 서로 다른 공정 기체가 서로 섞이지 않고 샤워헤드를 통과하여 샤워헤드와 기판 사이에서 서로 혼합되는 방법, 즉 후혼합 방법을 일반적으로 사용하게 된다.
공지문헌 1의 구성을 나타내는 도 1에는 이와 관련한 종래 기술을 보여준다. 즉, 위에 위치한 반응기체 샤워헤드 모듈(110)의 유입구(112)를 통해서 내부로 유입된 제1 반응기체는 반응기체의 분사속도를 조절하기 위해 또 다른 유입구(113)를 통해서 내부로 유입된 분사지원 기체와 혼합되며, 혼합된 기체는 반응기체 분사튜브(111)를 통해서 안내관(122)을 따라서 아래의 반응기체 샤워헤드 모듈(120) 내부를 가로질러서 제2 반응기체와는 혼합되지 않으면서 반응실 내에 놓여있는 기판(미도시)을 향해 분사된다.
그런데 이와 같은 구성에서는 막의 구성 원소를 공급하는 반응기체의 수가 많아지면 샤워헤드의 구조가 매우 복잡해질 우려가 있다. 도 1에서는 두 개의 반응기체 샤워헤드 모듈(110,120)이 높이 방향으로 쌓여있는 것을 보여주고 있는데, 반응기체의 수가 증가함에 따라서 쌓아야 할 반응기체 샤워헤드 모듈(110,120)의 수를 계속하여 늘리는 것은 조립 상의 어려움과 아울러 반응실 내 배당된 공간상의 한계로 인해 문제가 될 수 있는 것이다.
본 발명은 상기와 같은 종래의 문제점을 해결하기 위한 것으로, 그 목적은 냉각 자켓 윗부분에 퍼지기체 재분배 공간을 마련함과 아울러 냉각 자켓 위에 반응기체 샤워헤드 모듈을 배치하고, 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈을 배치하여 반응기체 샤워헤드 모듈의 바닥으로부터 연결되는 반응기체 분사튜브의 길이를 짧게 형성함으로써 샤워헤드의 제작 단계뿐 아니라 유지 보수 중에서도 튜브의 휨, 혹은 재조립의 어려움과 같은 불편함을 해소할 수 있고, 또한 압력 손실로 인해 반응기체가 원활한 공급이 곤란해지는 점, 혹은 여러 층의 막을 증착할 때 먼저 공정 단계에서의 반응기체가 샤워헤드 내부에 잔류할 수 있는 점 등의 문제를 해소할 수 있는 막증착 진공장비용 샤워헤드를 제공하는데 있다.
본 발명의 다른 목적은, 반응기체 샤워헤드 모듈 내에서 서로 혼합되더라도 화학반응을 일으키지 않아 파티클 발생의 우려가 극히 적고 성질이 서로 비슷한 반응기체끼리는 하나의 반응기체 샤워헤드 모듈 내에서 혼합하여 분사할 수 있도록 함으로써 반응기체 수의 증가에 따른 반응기체 샤워헤드 모듈의 수를 그대로 유지하여 샤워헤드의 전체 구성 및 조립상의 문제를 해소할 수 있고, 반응실 내의 공간상의 한계에 따른 문제를 해소할 수 있는 막증착 진공장비용 샤워헤드를 제공하는데 있다.
상기의 목적을 달성하기 위한 본 발명은, 반응기체가 유입되는 유입구와 반응기체를 분사하기 위한 분사튜브를 가지는 반응기체 샤워헤드 모듈; 퍼지기체가 유입되는 유입구와 퍼지기체를 분사하기 위한 분사구를 가지는 퍼지기체 샤워헤드 모듈; 및 냉각재가 유입 및 배출되는 입,출구를 가지고 윗부분에 퍼지기체 재분배 공간을 구비하는 냉각 자켓을 포함하는 막증착 진공장비용 샤워헤드에 있어서, 상기 냉각 자켓 위에 반응기체 샤워헤드 모듈을 배치하고, 상기 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈을 배치하여, 상기 반응기체 샤워헤드 모듈의 분사튜브는 아래의 상기 냉각 자켓을 관통하고, 상기 퍼지기체 샤워헤드 모듈로부터 분사된 퍼지기체는 상기 반응기체 샤워헤드 모듈 내부의 반응기체와는 접촉하지 않은 상태에서 상기 반응기체 샤워헤드 모듈을 관통하여 상기 냉각 자켓 위의 퍼지기체 재분배 공간으로 유입되도록 하며, 상기 반응기체와 상기 퍼지기체는 각각 상기 냉각자켓을 그 내부의 냉각재와는 접촉하지 않으면서 관통하여 기판쪽으로 분사되는 막증착 진공장비용 샤워헤드에 특징이 있다.
또한 본 발명은 상기 반응기체 샤워헤드 모듈과 퍼지기체 샤워헤드 모듈 사이에 또 다른 반응기체 샤워헤드 모듈을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈로부터 분사된 퍼지기체는 상기 복수개의 반응기체 샤워헤드 모듈과 냉각 자켓을 관통하는 막증착 진공장비용 샤워헤드에 특징이 있다.
또한 본 발명은 상기 퍼지기체 샤워헤드 모듈 위에 또 다른 반응기체 샤워헤드 모듈을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈의 분사튜브는 아래의 퍼지기체 샤워헤드 모듈, 반응기체 샤워헤드 모듈 및 냉각 자켓을 관통하는 막증착 진공장비용 샤워헤드에 특징이 있다.
또한 본 발명의 상기 반응기체 샤워헤드 모듈은, 위판과 바닥판 사이에 제1 및 제2 중간판을 형성하여, 상기 위판과 제1 중간판 사이의 공간으로는 복수개의 유입구를 통해 복수의 반응기체가 유입되고, 상기 제1 중간판과 제2 중간판 사이의 공간으로는 하나의 유입구를 통해 분사지원 기체가 유입되며, 상기 제2 중간판과 상기 바닥판 사이의 공간인 혼합실 안에서 상기 복수의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합 기체를 형성하도록 이루어지는 막증착 진공장비용 샤워헤드에 특징이 있다.
또한 본 발명의 상기 반응기체 샤워헤드 모듈은, 위판과 바닥판 사이에 제1 내지 제3 중간판을 형성하여, 상기 위판과 제1 중간판 사이의 공간으로는 하나의 유입구를 통해 반응기체가 유입되고, 상기 제1 중간판과 제2 중간판 사이의 공간으로는 하나의 유입구를 통해 상기 반응기체와 다른 종류의 반응기체가 유입되며, 상기 제2 중간판과 제3 중간판 사이의 공간으로는 하나의 유입구를 통해 분사지원 기체가 유입되고, 상기 제3 중간판과 바닥판 사이의 공간인 혼합실 안에서 상기 두 종류의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합 기체를 형성하도록 이루어지는 막증착 진공장비용 샤워헤드에 특징이 있다.
상기의 특징적 구성을 가지는 본 발명은, 냉각 자켓 위에 반응기체 샤워헤드 모듈이 배치되고, 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈이 배치되어, 퍼지기체 샤워헤드 모듈의 분사튜브가 아래의 반응기체 샤워헤드 모듈을 관통하여 냉각 자켓 위의 퍼지기체 재분배 공간으로 퍼지기체를 유입시키도록 구성됨에 따라, 반응기체 샤워헤드 모듈의 바닥으로부터 연결되는 반응기체 분사튜브의 길이를 짧게 형성할 수 있다. 이로써 샤워헤드의 제작 단계뿐 아니라 유지 보수 중에서도 튜브의 휨, 혹은 재조립의 어려움과 같은 불편함을 해소할 수 있고, 반응기체 분사튜브의 길이가 길어짐에 따른 압력 손실로 인해 반응기체가 원활한 공급이 곤란해지는 점, 혹은 여러 층의 막을 증착할 때 먼저 공정 단계에서의 반응기체가 샤워헤드 내부에 잔류할 수 있는 점 등의 문제가 해소되는 효과가 있다.
또한 본 발명은, 하나의 반응기체 샤워헤드 모듈에 구비한 복수개의 유입구를 통해 복수의 반응기체를 유입할 수 있게 되므로, 서로 혼합되더라도 화학반응을 일으키지않아 파티클 발생의 우려가 극히 적고 성질이 서로 비슷한 반응기체를 하나의 반응기체 샤워헤드 모듈 내에서 혼합하여 분사할 수 있다. 이로써 막증착 공정상 반응기체 수가 증가하는 경우에도 반응기체 샤워헤드 모듈의 수를 증가시킬 필요 없어 샤워헤드의 전체 구성 및 조립상의 문제를 해소할 수 있고, 반응실 내의 공간상의 한계에 따른 문제가 해소되는 효과가 있다.
도 1은 종래의 샤워헤드를 나타낸 단면구성도.
도 2는 도 1의 "A"부 상세도.
도 3은 종래의 다른 샤워헤드를 나타낸 단면구성도.
도 4는 도 3의 "B"부 상세도.
도 5는 본 발명의 제1 실시형태에 따른 샤워헤드를 나타낸 단면구성도.
도 6은 본 발명의 제2 실시형태에 따른 샤워헤드를 나타낸 단면구성도.
도 7은 본 발명의 제3 실시형태에 따른 샤워헤드를 나타낸 단면구성도.
도 8은 본 발명의 제4 실시형태에 따른 샤워헤드의 반응기체 샤워헤드 모듈을 나타낸 단면구성도.
도 9는 본 발명의 제4 실시형태에 따른 샤워헤드의 반응기체 샤워헤드 모듈의 다른 변형예를 나타낸 단면구성도.
도 10은 본 발명의 제5 실시형태에 따른 샤워헤드의 반응기체 샤워헤드 모듈을 나타낸 단면구성도.
이하, 본 발명의 바람직한 실시예를 첨부도면에 의거하여 상세하게 설명한다. 도 5는 본 발명에 따른 막증착 진공장비용 샤워헤드의 제1 실시형태를 나타낸 것으로, 퍼지기체 샤워헤드 모듈(10), 반응기체 샤워헤드 모듈(20) 및 냉각 자켓(30)을 각각 1개씩 구비한 샤워헤드를 보여주는 것이다.
도 5에서 보듯이 제1 실시형태의 샤워헤드는 한 종류의 반응기체와 하나의 퍼지기체를 사용하는 것을 가정하여 위에서부터 1개의 퍼지기체 샤워헤드모듈(10), 1개의 반응기체 샤워헤드 모듈(20) 및 냉각 자켓(30)이 수직방향으로 순서적으로 쌓여서 구성된다.
퍼지기체 샤워헤드 모듈(10)은, 위판(11)과 바닥판(12) 사이에 중간판(13)을 형성하여, 상기 위판(11)과 중간판(13) 사이의 공간으로 유입구(14)를 통해 퍼지기체가 유입되고, 상기 공간으로 유입된 퍼지기체는 중간판(13)에 형성한 다수개의 구멍(13a)을 통해 흐르면서 중간판(13)과 바닥판(12) 사이의 공간인 확산실(15)로 유입되어 확산되며, 바닥판(12)에 형성한 다수개의 분사구(161)에 밀봉 연결된 다수개의 퍼지기체 분사튜브(16)를 통해 균일하게 분사된다.
반응기체 샤워헤드 모듈(20)은, 위판(21)과 바닥판(22) 사이에 제1 및 제2 중간판(23,24)을 형성하여, 상기 위판(21)과 제1 중간판(23) 사이의 공간으로는 유입구(25)를 통해 반응기체가 유입되고, 상기 제1 중간판(23)과 제2 중간판(24) 사이의 공간으로는 유입구(26)를 통해 분사지원 기체가 유입되며, 상기 제2 중간판(24)과 바닥판(22) 사이의 공간인 혼합실(27)에서 상기 반응기체와 분사지원 기체가 혼합된다.
상기 위판(21)과 제1 중간판(23) 사이의 공간으로 들어온 반응기체는 제1 중간판(23)에 뚫려 있는 다수개의 구멍에 밀봉되어 혼합실(27)과 연결된 연결관(28)을 통해 혼합실(27)로 유입되고, 제1 중간판(23)과 제2 중간판(24) 사이의 공간으로 들어온 분사지원 기체는 제2 중간판(24)에 뚫려 있는 다수개의 구멍(24a)을 통해 혼합실(27)로 유입된다. 혼합실(27) 안에서는 상기 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하게 되며, 혼합기체는 바닥판(22)에 형성한 다수개의 구멍에 밀봉 연결된 다수개의 반응기체 분사튜브(29)에 골고루 분배된다.
냉각 자켓(30)은, 샤워헤드의 온도를 일정하게, 예를 들면 150 ~ 200℃로 유지함으로써 샤워헤드 내부 온도가 지나치게 올라감으로 인해서 반응기체가 열분해에 의해 샤워헤드 내부 및 표면에 원하지 않는 증착을 일으키는 것을 방지하기 위한 것으로, 위판(31)과 바닥판(32)으로 구성되고, 위판(31)과 바닥판(32) 사이에는 반응기체 분사튜브(29)를 통과시키기 위한 다수개의 안내관(33)이 밀봉 삽입되어 있다.
냉각 자켓(30)의 입구(34)를 통해 안으로 주입된 냉각재는 내부 공간을 거친 뒤 출구(35)를 통해 최종적으로 반응실(미도시)을 빠져나간다. 냉각재로는 압축공기, 물 등 어떤 것을 이용해도 무방하지만 냉각재가 냉각 자켓(30)으로부터 반응실 쪽으로 새지 않도록 하는 것은 매우 중요하다.
한편, 상기 반응기체 샤워헤드 모듈(20)의 분사튜브(29)는 아래의 상기 냉각 자켓(30)의 안내관(33)을 통해 냉각 자켓(30)의 바닥판(32)으로부터 돌출되어 반응기체를 기판쪽으로 분사시킬 수 있도록 되어 있고, 상기 퍼지기체 샤워헤드 모듈(10)의 퍼지기체 분사튜브(16)는 아래의 상기 반응기체 샤워헤드 모듈(20)을 관통하여 반응기체 샤워헤드 모듈(20)의 바닥판(22)과 냉각 자켓(30)의 위판(31) 사이의 퍼지기체 재분배 공간(36)과 연결되어 퍼지기체를 퍼지기체 재분배 공간(36)에서 다시 한번 균일하게 확산시킨 후, 냉각 자켓(30)의 위판(31)과 바닥판(32)을 연결하는 다수개의 연결관(37) 내부와, 반응기체 분사튜브(29)가 냉각 자켓(30)을 관통하는 안내관(33)의 내벽과 반응기체 분사튜브(29) 외벽 사이의 틈새를 통해 기판 쪽으로 분사시킬 수 있도록 되어 있다. 한편, 냉각 자켓(30)의 안내관(33)은 반드시 필요한 반면 연결관(37)은 생략되어도 좋다.
이와 같이 본 발명의 제1 실시형태에 의한 구성은, 도 5에서 보듯이 반응기체 샤워헤드 모듈(20)의 바닥판(22)과 냉각 자켓(30)의 위판(31) 사이에 퍼지기체 재분배 공간(36)이 마련되고, 퍼지기체 샤워헤드 모듈(10)이 반응기체 샤워헤드 모듈(20) 위에 배치된 것이다.
따라서,“ℓ2”로 표시되는 반응기체 분사튜브(29)의 길이가 종래설명의 도 3에서 “ℓ1”로 표시되는 반응기체 분사튜브(111,121)의 길이보다 짧아진다.
상기 반응기체 분사튜브(29)의 길이가 짧아짐에 따라 샤워헤드의 제작 단계뿐 아니라 유지 보수 중에서도 튜브의 휨, 혹은 재조립의 어려움과 같은 불편함을 해소할 수 있고, 반응기체 분사튜브(29)의 길이가 길어짐에 따른 압력 손실로 인해 반응기체가 원활한 공급이 곤란해지는 점, 혹은 여러 층의 막을 증착할 때 이전 공정 단계에서의 반응기체가 샤워헤드 내부에 잔류할 수 있는 점 등의 문제를 해소할 수 있다.
한편, 퍼지기체 샤워헤드 모듈(10)의 바닥판(12)에 형성된 다수개의 퍼지기체 분사구(161)에 연결되어 있는 퍼지기체 분사튜브(16)의 끝이 퍼지기체 재분배 공간(36) 내로 도달하게 되어 있는데, 퍼지기체 분사튜브(16)의 길이가 이에 맞춰 반드시 길어야 하는 것은 아니며, 퍼지기체 분사튜브(16)는 그 보다 아래에 있는 반응기체 샤워헤드 모듈(20)에 밀봉 설치되어 있는 반응기체 샤워헤드 모듈(20)의 안내관(20a)과 정렬만 되어도 좋고, 혹은 퍼지기체 분사튜브(16)를 별도로 설치하지 않고 퍼지기체 샤워헤드 모듈(10)의 퍼지기체 분사구(161)를 반응기체 샤워헤드 모듈(20)의 안내관(20a)과 정렬만 시켜도 같은 작용을 수행할 수 있다.
도 6은 본 발명에 따른 막증착 진공장비용 샤워헤드의 제2 실시형태를 나타낸 것으로, 전술한 제1 실시형태에 있어서, 퍼지기체 샤워헤드 모듈(10)과 반응기체 샤워헤드 모듈(20) 사이에 또 다른 반응기체 샤워헤드 모듈(40)을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈(40)의 분사튜브(49)는 아래의 반응기체 샤워헤드 모듈(20)의 안내관(20b)과 냉각 자켓(30)의 안내관(30a)을 관통하고, 퍼지기체 샤워헤드 모듈(10)의 분사튜브(16)는 위,아래의 반응기체 샤워헤드 모듈(40,20)의 안내관(40a,20a)을 통해 관통하여 반응기체 샤워헤드 모듈(20)의 바닥판(22)과 냉각 자켓(30)의 위판(31) 사이의 퍼지기체 재분배 공간(36)과 연결되어 퍼지기체를 퍼지기체 재분배 공간(36)에서 다시 한번 균일하게 확산시킬 수 있도록 구성된 것이며, 그 외의 구성은 전술한 제1 실시형태의 구성과 동일하다.
이러한 제2 실시형태의 구성에 의하면, 막증착 공정시 반응기체의 수가 증가되는 경우, 유용하게 사용할 수 있으며, 위의 반응기체 샤워헤드 모듈(40)의 반응기체 분사튜브(49)는 길이가 길고, 아래의 반응기체 샤워헤드 모듈(20)의 반응기체 분사튜브(29)는 길이가 짧게 형성되므로, 길이가 긴 분사튜브(49)를 가지는 위의 반응기체 샤워헤드 모듈(40)에는 압력 손실이 저하되어도 공정수행에 지장이 없는 반응기체를 유입시키고, 길이가 짧은 분사튜브(29)를 가지는 아래의 반응기체 샤워헤드 모듈(20)에는 압력 손실이 저하되면 공정수행에 지장을 주는 반응기체를 유입시켜 사용할 수 있다.
도 7은 본 발명에 따른 막증착 진공장비용 샤워헤드의 제3 실시형태를 나타낸 것으로, 전술한 제1 실시형태에 있어서, 퍼지기체 샤워헤드 모듈(10) 위에 또 다른 반응기체 샤워헤드 모듈(50)을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈(50)의 분사튜브(59)는 아래의 퍼지기체 샤워헤드 모듈(10), 반응기체 샤워헤드 모듈(20) 및 냉각 자켓(30)에 형성한 안내관(10a,20b,30a)을 차례로 관통하도록 구성된 것이며, 그 외의 구성은 전술한 제1 실시형태의 구성과 동일하다.
이러한 제3 실시형태의 구성에 의해서도 상기한 제2 실시형태와 마찬가지로, 막증착 공정시 반응기체의 수가 증가되는 경우, 유용하게 사용할 수 있으며, 위의 반응기체 샤워헤드 모듈(50)의 반응기체 분사튜브(59)가 아래의 반응기체 샤워헤드 모듈(20)의 반응기체 분사튜브(29)의 길이보다 길게 형성되므로, 길이가 긴 분사튜브(59)를 가지는 위의 반응기체 샤워헤드 모듈(50)에는 압력 손실이 저하되어도 공정수행에 지장이 없는 반응기체를 유입시키고, 길이가 짧은 분사튜브(29)를 가지는 아래의 반응기체 샤워헤드 모듈(20)에는 압력 손실이 저하되면 공정수행에 지장을 주는 반응기체를 유입시켜 사용할 수 있다.
도 8은 본 발명에 따른 막증착 진공장비용 샤워헤드의 제4 실시형태를 나타낸 것으로, 전술한 제1 실시형태에 있어서, 반응기체 샤워헤드 모듈(20)의 위판(21)과 제1 중간판(23) 사이의 공간으로는 복수개의 유입구(25a,25b)를 통해 복수의 반응기체를 유입시키고, 상기 제1 중간판(23)과 제2 중간판(24) 사이의 공간으로는 하나의 유입구(26)를 통해 분사지원 기체를 유입시키며, 제2 중간판(24)과 바닥판(22) 사이의 공간인 혼합실(27) 안에서 상기 복수의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 구성한 것이고, 그 외의 구성은 전술한 제1 실시형태의 구성과 동일하다.
이러한 제4 실시형태에 의하면, 상기 반응기체 샤워헤드 모듈(20)에 구비한 복수개의 유입구(25a,25b)를 통해 복수의 반응기체를 유입시킬 수 있게 되므로, 막증착 공정상 반응기체 수가 증가하는 경우에도 반응기체 샤워헤드 모듈(20)의 수를 증가할 필요가 없이 샤워헤드의 전체 구성 및 조립상의 문제를 해소할 수 있고, 반응실 내의 공간상의 한계에 따른 문제를 해소할 수 있다.
이때, 반응기체 샤워헤드 모듈(20)의 유입구(25a,25b)를 통해 유입되는 반응기체는, 서로 혼합되더라도 화학반응을 일으키지 않아 파티클 발생의 우려가 극히 적은 성질이 서로 비슷한 종류의 반응기체를 적용함으로써 하나의 반응기체 샤워헤드 모듈(20) 내에서 혼합하여 분사하는 것이 바람직하다.
또한, 도 9는 본 발명에 따른 제4 실시형태의 변형예를 나타낸 것으로, 반응기체 샤워헤드 모듈(20)은, 위판(21)과 바닥판(22) 사이에 제1 및 제2 중간판(23,24)을 형성하여, 상기 위판(21)과 제1 중간판(23) 사이의 공간으로는 하나의 유입구(26)를 통해 분사지원 기체가 유입되고, 상기 제1 중간판(23)과 제2 중간판(24) 사이의 공간으로는 복수개의 유입구(25a,25b)를 통해 복수의 반응기체가 유입되며, 상기 제2 중간판(24)과 상기 바닥판(22) 사이의 공간인 혼합실(27) 안에서 상기 복수의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 구성할 수 있다.
이와 같이 반응기체 샤워헤드 모듈(20) 내에서 반응기체가 유입되는 공간과 분사지원 기체가 유입되는 공간의 상하 위치는 서로 뒤바뀔 수도 있음은 자명한 일이다.
도 10은 본 발명에 따른 막증착 진공장비용 샤워헤드의 제5 실시형태를 나타낸 것으로, 전술한 제1 실시형태에 있어서, 상기 반응기체 샤워헤드 모듈(20)의 위판(21)과 바닥판(22) 사이에 제1 내지 제3 중간판(23a,23b,23c)을 형성하여, 상기 위판(21)과 제1 중간판(23a) 사이의 공간으로는 하나의 유입구(26a)를 통해 반응기체가 유입되고, 상기 제1 중간판(23a)과 제2 중간판(23b) 사이의 공간으로는 하나의 유입구(26b)를 통해 상기 반응기체와 다른 종류의 반응기체가 유입되며, 상기 제2 중간판(23b)과 제3 중간판(23c) 사이의 공간으로는 하나의 유입구(26c)를 통해 분사지원 기체가 유입되고, 상기 제3 중간판(23c)과 바닥판(22) 사이의 공간인 혼합실(27) 안에서 상기 두 종류의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 구성된 것이다.
또한, 상기 위판(21)과 제1 중간판(23a) 사이의 공간으로 들어온 하나의 반응기체는 제1 중간판(23a)에 뚫려 있는 다수개의 구멍에 밀봉되어 혼합실(27)과 연결된 연결관(28a)을 통해 혼합실(27)로 유입되고, 제1 중간판(23a)과 제2 중간판(23b) 사이의 공간으로 들어온 또 다른 반응기체는 제2 중간판(23b)에 뚫려 있는 다수개의 구멍에 밀봉되어 혼합실(27)과 연결된 연결관(28b)을 통해 혼합실(27)로 유입되고, 제2 중간판(23b)과 제3 중간판(23c) 사이의 공간으로 들어온 퍼지기체는 제3 중간판(23c)에 뚫려 있는 다수개의 구멍(24a)을 통해 혼합실(27)로 유입된다. 혼합실(27) 안에서는 상기 두 종류의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 구성된 것이며, 그 외의 구성은 전술한 제1 실시형태의 구성과 동일하다.
이러한 본 발명의 제5 실시형태에 의해서도 전술한 제4 실시형태와 마찬가지의 작용을 수행할 수 있으며, 반응기체 샤워헤드 모듈(20) 내에서 반응기체가 유입되는 공간과 분사지원 기체가 유입되는 공간의 상하 위치는 도 8과 도 9에서의 경우와 마찬가지로 서로 뒤바뀔 수도 있음은 자명한 일이다.
지금까지 설명된 실시예는 본 발명의 바람직한 실시예를 설명한 것에 불과하고, 본 발명의 권리범위는 설명된 실시예에 한정되는 것은 아니며, 본 발명의 기술적 사상과 특허청구범위 내에서 이 분야의 당업자에 의하여 다양한 변경, 변형 또는 치환이 가능할 것이며, 그와 같은 실시예들은 본 발명의 범위에 속하는 것으로 이해되어야 한다.
이 발명에 따른 화학기상증착에 의해 다성분계의 막을 증착함에 있어서 막의 조성비를 자유롭게 조절하면서, 또 샤워헤드 바닥 오염을 방지할 수 있으므로 막 증착 공정의 안정성과 반복성, 그리고 생산성이 대폭 향상된다. 따라서 이 발명 기술은 다성분계의 화합물 반도체를 기반으로 하는 에너지 소자, 가령 LED, 혹은 태양전지 제조에 널리 이용될 수 있으며, 그 외에 잉크젯 프린터 헤드에서 PZT 재질의 비교적 두꺼운 압전막의 제조에도 효과적으로 사용될 수 있다.

Claims (7)

  1. 반응기체가 유입되는 유입구와 반응기체를 분사하기 위한 복수개의 분사튜브를 가지는 반응기체 샤워헤드 모듈; 퍼지기체가 유입되는 유입구와 퍼지기체를 분사하기 위한 복수개의 분사튜브를 가지는 퍼지기체 샤워헤드 모듈; 및 냉각재가 유입 및 배출되는 입,출구를 가지고 윗부분에 퍼지기체 재분배 공간을 구비하는 냉각 자켓을 포함하는 막증착 진공장비용 샤워헤드에 있어서,
    상기 냉각 자켓 위에 반응기체 샤워헤드 모듈을 배치하고, 상기 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈을 배치하여, 상기 반응기체 샤워헤드 모듈의 분사튜브는 아래의 상기 냉각 자켓을 관통하고, 상기 퍼지기체 샤워헤드 모듈의 분사튜브는 아래의 상기 반응기체 샤워헤드 모듈을 관통하여 상기 냉각 자켓 위의 퍼지기체 재분배 공간으로 퍼지기체가 유입되도록 하는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  2. 반응기체가 유입되는 유입구와 반응기체를 분사하기 위한 복수개의 분사튜브를 가지는 반응기체 샤워헤드 모듈; 퍼지기체가 유입되는 유입구와 퍼지기체를 분사하기 위한 복수개의 퍼지기체 분사구를 가지는 퍼지기체 샤워헤드 모듈; 및 냉각재가 유입 및 배출되는 입,출구를 가지고 윗부분에 퍼지기체 재분배 공간을 구비하는 냉각 자켓을 포함하는 막증착 진공장비용 샤워헤드에 있어서,
    상기 냉각 자켓 위에 반응기체 샤워헤드 모듈을 배치하고, 상기 반응기체 샤워헤드 모듈 위에 퍼지기체 샤워헤드 모듈을 배치하여, 상기 반응기체 샤워헤드 모듈의 분사튜브는 아래의 상기 냉각 자켓을 관통하고, 상기 퍼지기체 샤워헤드 모듈의 퍼지기체 분사구로부터 분사된 퍼지 기체는 아래의 상기 반응기체 샤워헤드 모듈 내부를 관통하여 마련된 안내관 내부를 따라서 상기 냉각 자켓 위의 퍼지기체 재분배 공간으로 유입되도록 하는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 반응기체 샤워헤드 모듈과 퍼지기체 샤워헤드 모듈 사이에 또 다른 반응기체 샤워헤드 모듈을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈의 분사튜브는 아래의 반응기체 샤워헤드 모듈과 냉각 자켓을 관통하는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  4. 제 1 항 또는 제 2 항에 있어서, 상기 퍼지기체 샤워헤드 모듈 위에 또 다른 반응기체 샤워헤드 모듈을 더욱 배치하고, 위의 반응기체 샤워헤드 모듈의 분사튜브는 아래의 퍼지기체 샤워헤드 모듈, 반응기체 샤워헤드 모듈 및 냉각 자켓을 관통하는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  5. 제 1 항 또는 제 2 항에 있어서, 상기 반응기체 샤워헤드 모듈은, 위판과 바닥판 사이에 제1 및 제2 중간판을 형성하여, 상기 위판과 제1 중간판 사이의 공간으로는 복수개의 유입구를 통해 복수의 반응기체가 유입되고, 상기 제1 중간판과 제2 중간판 사이의 공간으로는 하나의 유입구를 통해 분사지원 기체가 유입되며, 상기 제2 중간판과 상기 바닥판 사이의 공간인 혼합실 안에서 상기 복수의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 이루어지는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  6. 제 1 항 또는 제 2 항에 있어서, 상기 반응기체 샤워헤드 모듈은, 위판과 바닥판 사이에 제1 및 제2 중간판을 형성하여, 상기 위판과 제1 중간판 사이의 공간으로는 하나의 유입구를 통해 분사지원 기체가 유입되고, 상기 제1 중간판과 제2 중간판 사이의 공간으로는 복수개의 유입구를 통해 복수의 반응기체가 유입되며, 상기 제2 중간판과 상기 바닥판 사이의 공간인 혼합실 안에서 상기 복수의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합기체를 형성하도록 이루어지는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
  7. 제 1 항 또는 제 2 항에 있어서, 상기 반응기체 샤워헤드 모듈은,
    위판과 바닥판 사이에 제1 내지 제3 중간판을 형성하여, 상기 위판과 제1 중간판 사이의 공간으로는 하나의 유입구를 통해 반응기체가 유입되고, 상기 제1 중간판과 제2 중간판 사이의 공간으로는 하나의 유입구를 통해 상기 반응기체와 다른 종류의 반응기체가 유입되며, 상기 제2 중간판과 제3 중간판 사이의 공간으로는 하나의 유입구를 통해 분사지원 기체가 유입되고, 상기 제3 중간판과 바닥판 사이의 공간인 혼합실 안에서 상기 두 종류의 반응기체와 상기 분사지원 기체가 서로 혼합되어 혼합 기체를 형성하도록 이루어지는 것을 특징으로 하는 막증착 진공장비용 샤워헤드.
PCT/KR2010/003024 2009-06-01 2010-05-13 막증착 진공장비용 샤워헤드 WO2010140778A2 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/375,434 US9315897B2 (en) 2009-06-01 2010-05-13 Showerhead for film depositing vacuum equipment
DE112010002199.6T DE112010002199B4 (de) 2009-06-01 2010-05-13 Brausekopf für eine Vakuumschichtabscheidungsvorrichtung

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2009-0048290 2009-06-01
KR1020090048290A KR101064210B1 (ko) 2009-06-01 2009-06-01 막증착 진공장비용 샤워헤드

Publications (2)

Publication Number Publication Date
WO2010140778A2 true WO2010140778A2 (ko) 2010-12-09
WO2010140778A3 WO2010140778A3 (ko) 2011-03-10

Family

ID=41332832

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2010/003024 WO2010140778A2 (ko) 2009-06-01 2010-05-13 막증착 진공장비용 샤워헤드

Country Status (4)

Country Link
US (1) US9315897B2 (ko)
KR (1) KR101064210B1 (ko)
DE (1) DE112010002199B4 (ko)
WO (1) WO2010140778A2 (ko)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP4840832B2 (ja) * 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
KR20130115330A (ko) * 2011-05-24 2013-10-21 한국생산기술연구원 다층 샤워헤드 및 그 밀봉방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US20130276702A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Gas reclamation and abatement system for high volume epitaxial silicon deposition system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9244368B2 (en) 2012-09-26 2016-01-26 Kla-Tencor Corporation Particle control near reticle and optics using showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
WO2014209017A1 (ko) * 2013-06-26 2014-12-31 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
KR101542599B1 (ko) 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202147492A (zh) * 2020-06-03 2021-12-16 荷蘭商Asm Ip私人控股有限公司 噴淋板、基板處理裝置、基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230043069A (ko) * 2020-07-24 2023-03-30 램 리써치 코포레이션 감소된 내부 볼륨들을 갖는 샤워헤드
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021114868A1 (de) * 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
KR100682077B1 (ko) * 2006-06-05 2007-02-16 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치 및 방법
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR20070112354A (ko) * 2007-09-21 2007-11-23 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3649267B2 (ja) * 1996-10-11 2005-05-18 株式会社荏原製作所 反応ガス噴射ヘッド
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
EP3483919A1 (en) * 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR100682077B1 (ko) * 2006-06-05 2007-02-16 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치 및 방법
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR20070112354A (ko) * 2007-09-21 2007-11-23 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비

Also Published As

Publication number Publication date
US9315897B2 (en) 2016-04-19
US20120067971A1 (en) 2012-03-22
DE112010002199B4 (de) 2015-02-26
WO2010140778A3 (ko) 2011-03-10
KR101064210B1 (ko) 2011-09-14
DE112010002199T5 (de) 2012-07-05
KR20090075649A (ko) 2009-07-08

Similar Documents

Publication Publication Date Title
WO2010140778A2 (ko) 막증착 진공장비용 샤워헤드
KR100849929B1 (ko) 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
KR100450068B1 (ko) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
CN105839077B (zh) 用于沉积iii-v主族半导体层的方法和装置
US20060021574A1 (en) Multi-gas distribution injector for chemical vapor deposition reactors
US20080202416A1 (en) High temperature ALD inlet manifold
JP2002069651A (ja) Ald薄膜蒸着装置及び蒸着方法
JP2002053965A (ja) 薄膜蒸着用反応容器
WO2014003298A1 (ko) 프로세스 챔버 및 기판 처리 방법
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
KR100522727B1 (ko) 박막증착용 반응용기
KR20070096248A (ko) 가스분리형 샤워헤드를 이용한 원자층 증착 장치
KR100944186B1 (ko) 화학기상증착 반응기의 가스분사장치
KR100439949B1 (ko) 박막증착용 반응용기
KR102631372B1 (ko) 기판처리장치
KR100407507B1 (ko) 원자층 증착장치의 가스 분사장치
CN217173860U (zh) 一种ald设备用分腔进气装置
KR20230137714A (ko) 증착장치 및 증착장치를 이용한 증착방법
KR20240105806A (ko) 기생 플라즈마 방지를 위해 종방향으로 배치된 다공관 구조체가 구비된 샤워헤드 장치
WO2020138739A2 (ko) 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
TW202141664A (zh) 用於清洗半導體製程裝置之噴灑頭總成之組件或噴灑頭板的清洗治具、用於清洗噴灑頭總成的系統、清洗噴灑頭總成之一或多個組件的方法
KR20230168463A (ko) 기판처리장치
KR100972111B1 (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10783527

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 13375434

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 112010002199

Country of ref document: DE

Ref document number: 1120100021996

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10783527

Country of ref document: EP

Kind code of ref document: A2