JP2002053965A - 薄膜蒸着用反応容器 - Google Patents

薄膜蒸着用反応容器

Info

Publication number
JP2002053965A
JP2002053965A JP2001189019A JP2001189019A JP2002053965A JP 2002053965 A JP2002053965 A JP 2002053965A JP 2001189019 A JP2001189019 A JP 2001189019A JP 2001189019 A JP2001189019 A JP 2001189019A JP 2002053965 A JP2002053965 A JP 2002053965A
Authority
JP
Japan
Prior art keywords
wafer
diffusion plate
thin film
reactor
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001189019A
Other languages
English (en)
Other versions
JP3565799B2 (ja
Inventor
Young-Hoon Park
永 薫 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IPS Ltd
Original Assignee
IPS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IPS Ltd filed Critical IPS Ltd
Publication of JP2002053965A publication Critical patent/JP2002053965A/ja
Application granted granted Critical
Publication of JP3565799B2 publication Critical patent/JP3565799B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Glass Compositions (AREA)

Abstract

(57)【要約】 【課題】 ウェーハに均一な薄膜を蒸着する反応容器を
提供する。 【解決手段】 ウェーハ(W)はリアクターブロック
(110)内のウェーハブロック(140)上に載置さ
れる。シャワーヘッド板(120)はリアクターブロッ
クを覆って反応室を区画し、反応室の圧力をほぼ一定に
保つ。第1反応ガスは第1連結ライン(121)を通っ
てシャワーヘッド板に供給される。第2反応ガスは第2
連結ライン(122)を通ってシャワーヘッド板に供給
される。シャワーヘッド板の下面に設けられた拡散板
(130)はウェーハの上面に対向するように形成され
た複数の噴射口(131)と、リアクターブロックの内
側面に向かって傾斜して形成された複数のノズル(13
3)を有する。第1反応ガスは複数の噴射口(131)
から反応室内に噴射され、第2反応ガスは複数のノズル
(133)から反応室内に噴射される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造装
置に関し、例えば、半導体ウェーハに薄膜を蒸着するた
めの薄膜蒸着用反応容器に関する。
【0002】
【従来の技術】薄膜蒸着用反応容器は、その内部に各種
の反応ガスを流入させることにより、ウェーハ上に所定
の薄膜を形成する装置である。
【0003】このような反応容器は、高集積度の半導体
チップを製造するために、かつ、製造工程の効率及び生
産性を高めるために持続的な改善がなされつつある。
【0004】
【発明が解決しようとする課題】本発明は上記事情に鑑
みてなされたものであり、その目的は、ウェーハ上に、
高純度、優れた電気的な特性、及び、優れたステップカ
バーレッジを有する薄膜を蒸着する半導体薄膜蒸着用反
応容器を提供することである。
【0005】
【課題を解決するための手段】前記目的を達成するため
に、本発明による半導体薄膜蒸着用反応容器は、ウェー
ハを収容するリアクターブロックと、前記リアクターブ
ロックを覆って前記リアクターブロック内の圧力を所定
値に保つシャワーヘッド板と、前記リアクターブロック
に設けられ、前記ウェーハが置かれるウェーハブロック
と、前記リアクターブロックに形成されてリアクターブ
ロックの内部のガスを外部に排出する排気部とを備え
る。反応容器は、前記シャワーヘッド板と連結され、供
給される第1反応ガス及び/又は不活性ガスが流れる第
1連結ラインと、前記シャワーヘッド板と連結され、供
給される第2反応ガス及び/又は不活性ガスが流れる第
2連結ラインと、前記シャワーヘッド板の下部に設けら
れる拡散板であって、前記第1連結ラインと連結され、
供給される前記第1反応ガス及び/又は不活性ガスを前
記ウェーハの上面に向けて噴射するようにそのウェーハ
に対向する位置に形成された複数の噴射口と、前記第2
連結ラインと連結され、供給される前記第2反応ガス及
び/又は前記不活性ガスを前記ウェーハの縁部側に噴射
するように前記リアクターブロックの内側面に向いて形
成された複数のノズルを有する前記拡散板とを含むこと
に特徴を有する。
【0006】
【発明の実施の形態】図1は、本発明の第1実施形態に
従う薄膜蒸着用反応容器100の分解斜視図であり、図
2は、図1の反応容器100において、シャワーヘッド
板120を別角度から見た斜視図である。
【0007】図面を参照すれば、薄膜蒸着用反応容器1
00は、ウェーハW(図3参照)を収容するリアクター
ブロック110と、リアクターブロック110にヒンジ
128、129を介して連結されたシャワーヘッド板1
20と、シャワーヘッド板120の下面に設けられて反
応ガス及び/又は不活性ガスを噴射する拡散板130
と、リアクターブロック110の内部に設けられ、ウェ
ーハWが置かれるウェーハブロック140とを含む。
【0008】シャワーヘッド板120には、第1接続パ
イプ111及び第2接続パイプ112と各々接続される
第1連結ライン121及び第2連結ライン122が設け
られている。第1連結ライン121は第1接続パイプ1
11を通じて供給される第1反応ガス及び/又は不活性
ガスを反応容器100に流し、第2連結ライン122は
第2接続パイプ112を通じて供給される第2反応ガス
及び/又は不活性ガスを反応容器100に流す。
【0009】リアクターブロック110には、第1反応
ガスが流入される第1接続パイプ111及び第2反応ガ
スが流入される第2接続パイプ112が設けられてい
る。第1,第2接続パイプ111、112は、接続部1
13を通じてシャワーヘッド板120に設けられた第1
及び第2連結ライン121、122に各々接続される。
接続部113にはOリング113aが設けられている。
Oリング113aにより、シャワーヘッド板120がリ
アクターブロック110を閉じるときに第1及び第2接
続パイプ111、112と第1及び第2連結ライン12
1、122とが気密に連結される。他方、シャワーヘッ
ド板120が回動してリアクターブロック110を開放
するときには、第1及び第2接続パイプ111、112
と第1及び第2連結ライン121、122とが分離され
る。リアクターブロック110と閉じられたシャワーヘ
ッド板120により反応室が区画される。
【0010】リアクターブロック110の底面には、不
活性ガス及び/又は反応ガスを排出するための2つ以上
の排気ホール117、118が対称的に形成されている
(図7参照)。リアクターブロック110の上部には、
シャワーヘッド板120を閉じるとき、リアクターブロ
ック110とシャワーヘッド板120との間の密封が確
実になされるようにメインOリング114が設けられ
る。
【0011】シャワーヘッド板120はリアクターブロ
ック110を覆って反応室の圧力をほぼ一定に保つ。シ
ャワーヘッド板120がリアクターブロック110を覆
ったときに、拡散板130はリアクターブロック110
の内部(反応室)に位置する。
【0012】図3は、図1の反応容器100の断面図で
あり、図4は、図3の第1混合部の拡大断面図であり、
図5は、図3の第2混合部の斜視図であり、図6は、T
iN薄膜の形成時に、間隔Dと比抵抗との関係を示した
グラフである。
【0013】図面を参照すれば、拡散板130はシャワ
ーヘッド板120の下面に設けられており、薄膜蒸着工
程時にガスを反応室内に噴射する。拡散板130には、
第1連結ライン121と連結され、ウェーハWの上面に
向けて第1反応ガス及び/又は不活性ガスを噴射する可
能にそのウェーハWの上部に対向する複数の噴射口13
1が形成されている。また、第2連結ライン122と連
通された流路132と連結され、第2反応ガス及び/又
は不活性ガスをリアクターブロック110の内側面に向
けて噴射する複数のノズル133が形成されている。複
数のノズル133から噴射されたガスは、リアクターブ
ロック10の内側面からウェーハWの縁部へと流れる。
【0014】拡散板130は、第1連結ライン121と
複数の噴射口131とが連結される第1拡散板130a
と、第2連結ライン122と複数のノズル133とが連
結される第2拡散板130bとで構成される。第1拡散
板130aは少なくとも5mm以上の厚さを有するのが
望ましい。これにより第1拡散板130aの高温での変
形(反り)が低減される。また、噴射口131は、ウェ
ーハブロック140から伝わる熱エネルギーがシャワー
ヘッド板120に一様に伝わるように、噴射口131は
所定の内径を有する上段部及びその上段部よりも小径の
下段部を有するのが望ましい。これにより、拡散板13
0の過熱を防止することができる。噴射口131は、上
段部と下段部との間に形成される階段部を有するのがさ
らに望ましい。この場合、噴射口131と隣接する噴射
口131との間の第1拡散板130の断面は凸状とな
る。第1実施形態において、拡散板130は第1拡散板
130aと第2拡散板130bとで構成されているが、
第1拡散板130aと第2拡散板130bは一体形成さ
れていてもよい。
【0015】第1拡散板130aの上面の中心には、図
3及び図4に示されたように、前記噴射口131に連通
する第1混合部134が形成されている。第1拡散板1
30aでは、第1反応ガス及び不活性ガスが均一に混合
される。第1連結ライン121から供給された第1反応
ガス及び不活性ガスは第1混合部134で渦巻いて混合
される。混合されたガスは、全ての噴射口131から均
一にウェーハWの上面に噴射される。
【0016】噴射口131は、図2ないし図4に示され
たように、第1混合部134に対応する第1拡散板13
0aの下部には形成されていない。そして、噴射口13
1が形成された第1拡散板130aの全体の面積は、望
ましくは、噴射されるガスがウェーハWの全面に均一に
噴射されるように、ウェーハWの面積よりも大きい。
【0017】望ましくは、各噴射口131の直径は1m
m〜2.5mmの範囲である。この直径範囲は何回かに
亘る実験から得られたものであり、この範囲内であれ
ば、ウェーハW上に優れた特性を有する薄膜が蒸着され
る。また、噴射口131の数は直径に応じて約100〜
1000個であることが望ましい。第1実施形態におい
ては、160個以上の噴射口131が形成されている。
【0018】ノズル133は第2混合部135を中心と
して放射状に形成された流路132と連通されており、
図3に示されたように、リアクターブロック110の内
側面に向かうように斜めに形成されている。望ましく
は、ノズル133の数は30〜100の範囲にある。第
1実施形態においては、ノズル133の数は48個であ
る。
【0019】一方、第2連結ライン122とシャワーヘ
ッド板120との間には、図3及び図5に示されたよう
に、第2反応ガス及び不活性ガスを均一に混合するため
の第2混合部135が設けられている。第2混合部13
5は補助拡散板135aを有し、この補助拡散板135
aには穴135bが形成されている。補助拡散板135
aは図面に示された構造だけではなく、ガスを混合でき
る構造であれば、各種に具現できる。
【0020】リアクターブロック110の内部に設けら
れるウェーハブロック140はウェーハWを支持する。
ウェーハブロック140は、蒸着工程中、ウェーハWを
所定の温度に加熱するヒーターHを有する。
【0021】拡散板130とウェーハブロック140と
の間の間隔Dは20mm〜50mmの範囲にあることが
好ましい。図6は薄膜蒸着時に、間隔Dと形成された薄
膜の比抵抗との関係を示したグラフである。図6から、
間隔Dが30mmである場合に比抵抗が最も低いことが
分かる。しかし、例えば、第1及び第2反応ガスの種類
及び流量、ウェーハブロック140の温度などの他の条
件に応じて、最低の比抵抗値は間隔Dが略20mm〜5
0mmの範囲内において変化する。このことから、間隔
Dは、優れた特性の薄膜を形成する上で重要な因子であ
ることがわかる。
【0022】従来のCVD反応容器の場合、反応ガスを
噴射する拡散板とウェーハが置かれるウェーハブロック
との間隔は略50mm以上〜100mmである。従っ
て、第1実施形態の間隔Dが従来技術における値より小
さいことがわかる。本発明においては、従来に比べて間
隔Dが狭いため、噴射口131から噴射される第1反応
ガス及び/又は不活性ガスの噴射圧力によってウェーハ
W上に密な第1反応ガス層が形成され、この第1反応ガ
ス層は以降に流入される第2反応ガスと反応してより高
純度及び電気的特性に優れた薄膜を形成可能にする。
【0023】ウェーハブロック140の外側にはポンピ
ングバッフル150が設けられる。ポンピングバッフル
150は、ウェーハブロック140の外側部に設けられ
た側壁150aと、対称的に形成された穴150cを有
する底壁150bとを含む。ポンピングバッフル150
の底壁150bの下方、すなわち、リアクターブロック
110の底面には、排気ラインと連結されたドーナツ状
のポンピングポート115が形成されている。
【0024】ポンピングバッフル150の側壁150a
及び底壁150bはリアクターブロック110の内側面
に向けて噴射される第2反応ガス及び/又は不活性ガス
をウェーハW上に形成された第1反応ガス層とより均一
に反応可能にする空間を与える。薄膜蒸着工程において
排出される副産物及び薄膜蒸着に利用されなかったガス
は穴150cを介して反応室から排出される。また、ガ
スは排気ホール117、118(図7参照)を経由し、
ポンピングポート115を通じて反応容器100から排
出される。第1実施形態では、穴150c、ポンピング
ポート115及び排気ホール117、118により排気
部が形成される。
【0025】一方、薄膜蒸着工程では、反応室の圧力は
1Torr〜10Torrの範囲内に保つ必要がある。
このような圧力を観察及び制御するために、反応容器1
00には圧力測定部(図示せず)が設けられる。
【0026】薄膜蒸着用反応容器100の内部及び外部
にはヒーターHが設けられていて、薄膜蒸着工程が行わ
れる時に反応容器100を加熱する。例えば、TiN薄
膜蒸着工程に際し、リアクターブロック110の内部表
面の温度は約120℃〜200℃の範囲に、そして拡散
板130は約150℃〜260℃の範囲に保たれる必要
がある。また、ウェーハブロック140は約425℃〜
650℃の範囲に、そしてポンピングバッフル150は
150℃〜230℃の範囲に保たれる必要がある。
【0027】前記のような構造の薄膜蒸着用反応容器1
00は、図7に示されたように、ウェーハWを供給及び
移送する移送モジュール102にバット弁101を介し
て装着される。ウェーハWは移送モジュール102のロ
ボットアーム(図示せず)によってウェーハ移送通路1
16を通じて反応室に移送されてウェーハブロック14
0上に置かれる。このとき、バット弁101はその温度
を140℃〜170℃の範囲に保つ必要がある。
【0028】ウェーハ移送通路116を通じて移送され
たウェーハWがウェーハブロック140上に置かれ、所
定の温度に加熱された状態で、第1反応ガス及び/又は
不活性ガスが第1接続パイプ111及び第1連結ライン
121を通じて第1拡散板130aの噴射口131から
ウェーハWに向かって噴射される。また、第2反応ガス
及び/又は不活性ガスが第2接続パイプ112、第2連
結ライン122及び流路132を経由し、第2拡散板1
30bのノズル133からウェーハWの縁部側、リアク
ターブロック110の内側面に向かって噴射される。第
1及び第2反応ガスはウェーハW上に薄膜を形成し、工
程副産物や薄膜蒸着に利用されなかったガスは排気ホー
ル117、118及びポンピングポート115を通じて
反応容器100の外部に排出される。
【0029】図8は、本発明の第2実施形態に従う反応
容器100aの断面図であり、図9は、本発明の第3実
施形態に従う反応容器100bの断面図である。図8及
び図9において、図3と同一の符号は同一の機能をする
同一の部材を示す。図8の拡散板230の底面は凹状で
あり、図9の拡散板330の底面は凸状である。
【0030】薄膜が蒸着されたウェーハWから製造され
るダイはその電気的特性などが一定でなければならな
い。このために、ウェーハWの全面には、厚さ、純度、
電気的な特性が一定の膜が蒸着されなければならない。
【0031】ところで、第1実施形態における反応容器
100を用いてウェーハW上に薄膜を蒸着するとき、第
2反応ガスがリアクターブロック110の内側面の方向
に渦巻いた後、ウェーハWの縁部からウェーハWの上部
へと流れるため、ウェーハWの縁部及び中心部において
蒸着される薄膜の厚さ、純度、電気的な特性に差が生じ
る場合がある。したがって。ガス量、工程圧力などの工
程条件を変えてウェーハWの中心部に対する縁部の薄膜
の厚さ、純度、電気的な特性の差を狭める必要がある。
しかし、このような工程条件の変更にもかかわらず、前
記のような差が低減されない場合がある。
【0032】第2実施形態の反応容器100aでは、拡
散板230は凹状の底面を有する。また、第3実施形態
の反応容器100bでは、拡散板330はの底面を有す
る。従って、拡散板230、330とウェーハブロック
140との間の間隔DはをウェーハWの中心部と縁部と
で異なっている。これは、薄膜蒸着工程を行うに当たっ
て重要な変数の一つが、拡散板230,330とウェー
ハブロック140との間の間隔Dであることに着目した
のである。詳しくは、図10に示されたように、間隔D
と蒸着速度とは特定の関係にあるため、間隔Dを変更す
ることにより、蒸着される薄膜の厚さや純度、電気的な
特性を制御することができる。すなわち、図8に示され
たように、縁部における間隔を中心部との間隔よりも小
さくするか、或いは図9に示されたように、縁部におけ
る間隔を中心部との間隔よりも大きくすることで、蒸着
される薄膜の厚さ、純度、電気的な特性を所望の値に調
整することができる。
【0033】第2及び第3実施形態の反応容器100
a、100bによれば、ウェーハ上により均一な薄膜を
形成することができる。
【0034】
【発明の効果】以上述べたように、本発明による半導体
薄膜蒸着装置は、混合された第1反応ガス及び不活性ガ
スが噴射口を通じてウェーハの上部に噴射されて第1反
応ガス層が形成され、第2反応ガス及び不活性ガスがリ
アクターブロックの内側面に噴射された後ウェーハの上
部に流動しつつ第1反応ガス層と反応することにより、
特に第1反応ガス層及び第2反応ガス層の形成を連続的
でかつ順次的に誘導することにより、ウェーハ上に高純
度、優れた電気的な特性、及び、より優れたステップカ
バーレッジを有する薄膜が形成されるという効果があ
る。
【図面の簡単な説明】
【図1】 本発明の第1実施形態に従う薄膜蒸着用反応
容器の分解斜視図。
【図2】 図1の反応容器において、シャワーヘッド板
と拡散板とを分離して示した斜視図。
【図3】 図1の反応容器の断面図である。
【図4】 図3の第1混合部の拡大断面図である。
【図5】 図3の第2混合部の斜視図である。
【図6】 TiN薄膜蒸着時に、間隔Dと比抵抗との関
係を示したグラフである。
【図7】 反応容器が移送モジュールにバット弁を通じ
て結合された状態を示した図である。
【図8】 本発明の第2実施形態に従う反応容器の断面
図である。
【図9】 本発明の第3実施形態に従う反応容器の断面
図である。
【図10】 薄膜蒸着時に、間隔Dと蒸着速度との関係
を示したグラフである。
【符号の説明】
100,100a,100b…反応容器、110…リア
クターブロック、115,117,118,150c…
排気部、120…シャワーヘッド板、121…第1連結
ライン、122…第2連結ライン、130、230,3
30…拡散板、130a…第1拡散板、130b…第2
拡散板、131…噴射口、133…ノズル、134…第
1混合部、135…第2混合部、140…ウェーハブロ
ック、150…ポンピングバッフル。

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 ウェーハに薄膜を蒸着するための反応容
    器であって、前記ウェーハを収容するリアクターブロッ
    クと、前記リアクターブロックを覆って前記リアクター
    ブロック内の圧力を所定値に保つシャワーヘッド板と、
    前記リアクターブロックに設けられ、前記ウェーハが置
    かれるウェーハブロックと、前記リアクターブロックに
    形成されてリアクターブロックの内部のガスを外部に排
    出する排気部とを備える薄膜蒸着用反応容器において、 前記シャワーヘッド板と連結され、供給される第1反応
    ガス及び/又は不活性ガスが流れる第1連結ラインと、 前記シャワーヘッド板と連結され、供給される第2反応
    ガス及び/又は不活性ガスが流れる第2連結ラインと、 前記シャワーヘッド板の下部に設けられる拡散板であっ
    て、前記第1連結ラインと連結され、供給される前記第
    1反応ガス及び/又は不活性ガスを前記ウェーハの上面
    に向けて噴射するようにそのウェーハに対向する位置に
    形成された複数の噴射口と、前記第2連結ラインと連結
    され、供給される前記第2反応ガス及び/又は前記不活
    性ガスを前記ウェーハの縁部側に噴射するように前記リ
    アクターブロックの内側面に向いて形成された複数のノ
    ズルを有する前記拡散板とを含むことを特徴とする薄膜
    蒸着用反応容器。
  2. 【請求項2】 前記拡散板の底面は、凹状であることを
    特徴とする請求項1に記載の薄膜蒸着用反応容器。
  3. 【請求項3】 前記拡散板の底面は、凸状であることを
    特徴とする請求項1に記載の薄膜蒸着用反応容器。
  4. 【請求項4】 前記拡散板は、前記複数の噴射口を有す
    る第1拡散板と、前記複数のノズルを有する第2拡散板
    とから形成されることを特徴とする請求項1に記載の薄
    膜蒸着用反応容器。
  5. 【請求項5】 前記拡散板の内部の中心に設けられ、か
    つ、前記噴射口に連通する第1混合部であって、前記第
    1反応ガス及び不活性ガスを均一に混合する前記第1混
    合部をさらに含むことを特徴とする請求項1に記載の薄
    膜蒸着用反応容器。
  6. 【請求項6】 前記第2連結ラインと前記シャワーヘッ
    ド板との間に設けられるものであって、第2反応ガス及
    び不活性ガスを均一に混合するために補助拡散板に穴が
    形成された構造の第2混合部をさらに含むことを特徴と
    する請求項1に記載の薄膜蒸着用反応容器。
  7. 【請求項7】 前記噴射口が形成された前記拡散板の面
    積は、前記ウェーハのそれよりも大きいことを特徴とす
    る請求項1に記載の薄膜蒸着用反応容器。
  8. 【請求項8】 前記噴射口の直径は、1mm〜2.5m
    mの範囲にあることを特徴とする請求項1に記載の薄膜
    蒸着用反応容器。
  9. 【請求項9】 前記噴射口の数は、100〜1000の
    範囲にあることを特徴とする請求項8に記載の薄膜蒸着
    用反応容器。
  10. 【請求項10】 前記噴射口は、所定の内径を有する上
    段部及びその上段部よりも小径の下段部を含むことを特
    徴とする請求項9に記載の薄膜蒸着用反応容器。
  11. 【請求項11】 前記噴射口は、前記上段部と下段部と
    の間に形成された階段部をさらに含むことを特徴とする
    請求項10に記載の薄膜蒸着用反応容器。
  12. 【請求項12】 前記第1拡散板は少なくとも5mm以
    上の厚さを有することを特徴とする請求項10に記載の
    薄膜蒸着用反応容器。
  13. 【請求項13】 前記ノズルの数は、少なくとも30〜
    100の範囲にあることを特徴とする請求項1に記載の
    薄膜蒸着用反応容器。
  14. 【請求項14】 前記拡散板と前記ウェーハブロックと
    の間隔は、20mm〜50mmの範囲にあることを特徴
    とする請求項1に記載の薄膜蒸着用反応容器。
  15. 【請求項15】 前記ウェーハブロックの外周に設けら
    れるものであって、前記ウェーハブロックの側部に配さ
    れる側壁と、前記側壁の下段から外側に延び、複数個の
    穴が形成された底壁を有するポンピングバッフルとをさ
    らに含むことを特徴とする請求項1に記載の薄膜蒸着用
    反応容器。
  16. 【請求項16】 前記穴は、対称的に形成されているこ
    とを特徴とする請求項15に記載の薄膜蒸着用反応容
    器。
JP2001189019A 2000-06-24 2001-06-22 薄膜蒸着用反応容器 Expired - Fee Related JP3565799B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR00-35102 2000-06-24
KR1020000035102A KR100332314B1 (ko) 2000-06-24 2000-06-24 박막증착용 반응용기

Publications (2)

Publication Number Publication Date
JP2002053965A true JP2002053965A (ja) 2002-02-19
JP3565799B2 JP3565799B2 (ja) 2004-09-15

Family

ID=19673716

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001189019A Expired - Fee Related JP3565799B2 (ja) 2000-06-24 2001-06-22 薄膜蒸着用反応容器

Country Status (8)

Country Link
US (1) US6852168B2 (ja)
EP (1) EP1167570B1 (ja)
JP (1) JP3565799B2 (ja)
KR (1) KR100332314B1 (ja)
AT (1) ATE414803T1 (ja)
DE (1) DE60136593D1 (ja)
SG (1) SG96224A1 (ja)
TW (1) TW523785B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328021A (ja) * 2004-05-12 2005-11-24 Applied Materials Inc ガスディフューザのホールデザインによるプラズマ均一性制御
JP2010013733A (ja) * 2004-09-20 2010-01-21 Applied Materials Inc 拡散器重力支持体
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
JP2017527116A (ja) * 2014-08-15 2017-09-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 均一なプラズマ処理のためのノズル
JP2019517143A (ja) * 2016-05-20 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理用のガス分配シャワーヘッド

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100408519B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 원자층 형성용 반응챔버
KR100422398B1 (ko) * 2001-06-29 2004-03-12 주식회사 하이닉스반도체 박막 증착 장비
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
WO2004032200A2 (en) * 2002-10-03 2004-04-15 Genus, Inc. Systems and methods for improved gas delivery
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
JPWO2004111297A1 (ja) * 2003-06-10 2006-07-20 東京エレクトロン株式会社 処理ガス供給機構、成膜装置および成膜方法
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
KR101172334B1 (ko) * 2003-12-26 2012-08-14 고에키자이단호진 고쿠사이카가쿠 신고우자이단 샤워 플레이트, 플라즈마 처리 장치, 및 제품의 제조방법
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR101218555B1 (ko) * 2006-07-27 2013-01-18 주성엔지니어링(주) 기판처리장치
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR100925568B1 (ko) * 2007-07-13 2009-11-05 (주)러셀 화학 기상 증착장치의 반응챔버
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR101311362B1 (ko) * 2009-07-02 2013-09-25 주식회사 원익아이피에스 박막증착장치
KR101334643B1 (ko) * 2009-07-02 2013-12-02 주식회사 원익아이피에스 박막증착장치
DE102009043848A1 (de) 2009-08-25 2011-03-03 Aixtron Ag CVD-Verfahren und CVD-Reaktor
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
KR101367670B1 (ko) * 2011-12-28 2014-02-28 엘아이지에이디피 주식회사 진공 챔버
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
KR20210070109A (ko) 2019-12-04 2021-06-14 주성엔지니어링(주) 기판 처리 장치, 기판 처리 장치 마련 방법 및 기판 처리 방법
CN113832448B (zh) * 2020-06-23 2023-06-09 拓荆科技股份有限公司 一种半导体薄膜沉积设备及半导体镀膜方法
KR102466189B1 (ko) 2020-08-25 2022-11-10 주식회사 한화 수소 라디칼을 이용한 기판 처리장치
CN114351117B (zh) * 2020-10-13 2022-12-20 东部超导科技(苏州)有限公司 喷淋板、配置喷淋板的mocvd反应***及其使用方法
CN112609170B (zh) * 2020-11-24 2022-12-09 鑫天虹(厦门)科技有限公司 原子层沉积设备与制程方法
CN114737172A (zh) * 2022-04-21 2022-07-12 成都高真科技有限公司 一种化学气相沉积装置
CN116288269A (zh) * 2023-02-20 2023-06-23 拓荆科技(上海)有限公司 一种薄膜沉积设备和一种薄膜沉积方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0672308B2 (ja) * 1988-07-04 1994-09-14 新技術事業団 大気圧プラズマ反応方法
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH088257B2 (ja) * 1989-04-13 1996-01-29 三菱電機株式会社 常圧cvd装置
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
JP2939823B2 (ja) * 1990-07-20 1999-08-25 日本酸素株式会社 有機金属気相成長装置
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5134963A (en) 1991-10-28 1992-08-04 International Business Machines Corporation LPCVD reactor for high efficiency, high uniformity deposition
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5825625A (en) 1996-05-20 1998-10-20 Hewlett-Packard Company Heat conductive substrate mounted in PC board for transferring heat from IC to heat sink
JPH09316644A (ja) * 1996-05-23 1997-12-09 Nippon Sanso Kk Cvd装置のシャワーヘッドノズル
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328021A (ja) * 2004-05-12 2005-11-24 Applied Materials Inc ガスディフューザのホールデザインによるプラズマ均一性制御
JP4541117B2 (ja) * 2004-05-12 2010-09-08 アプライド マテリアルズ インコーポレイテッド ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10262837B2 (en) 2004-05-12 2019-04-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10312058B2 (en) 2004-05-12 2019-06-04 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
JP2010013733A (ja) * 2004-09-20 2010-01-21 Applied Materials Inc 拡散器重力支持体
JP2017527116A (ja) * 2014-08-15 2017-09-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 均一なプラズマ処理のためのノズル
JP2019517143A (ja) * 2016-05-20 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理用のガス分配シャワーヘッド
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Also Published As

Publication number Publication date
SG96224A1 (en) 2003-05-23
KR20020000588A (ko) 2002-01-05
KR100332314B1 (ko) 2002-04-12
EP1167570B1 (en) 2008-11-19
ATE414803T1 (de) 2008-12-15
US20020000196A1 (en) 2002-01-03
EP1167570A1 (en) 2002-01-02
DE60136593D1 (de) 2009-01-02
US6852168B2 (en) 2005-02-08
TW523785B (en) 2003-03-11
JP3565799B2 (ja) 2004-09-15

Similar Documents

Publication Publication Date Title
JP3565799B2 (ja) 薄膜蒸着用反応容器
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
KR100614648B1 (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
JP3380091B2 (ja) 反応ガス噴射ヘッド及び薄膜気相成長装置
JP3631984B2 (ja) Ald薄膜蒸着方法
KR101474879B1 (ko) 고온 원자층 증착용 인렛 매니폴드
KR100509231B1 (ko) 박막증착용 반응용기
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR100522727B1 (ko) 박막증착용 반응용기
KR100474971B1 (ko) 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
KR20060107683A (ko) 화학 기상 증착 장치
KR20030080687A (ko) Cvd 장치의 샤워헤드
KR100280519B1 (ko) 반도체 유기금속 화학기상증착장비의 가스 분사장치
KR100439949B1 (ko) 박막증착용 반응용기
KR20040014760A (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치 및 이를 이용한 반도체소자 제조방법
KR100364571B1 (ko) 박막증착용 반응용기
KR20080000990A (ko) 기판처리장치
JP4773469B2 (ja) 薄膜形成装置及び薄膜形成方法
CN213624369U (zh) 气体喷洒部件以及薄膜沉积装置
KR100972112B1 (ko) 배치 방식 반도체 제조 장치
JP2582105Y2 (ja) 化学気相成長装置
KR100528029B1 (ko) 박막 증착용 샤워헤드
KR200216948Y1 (ko) 화학기상증착장치
KR20230137714A (ko) 증착장치 및 증착장치를 이용한 증착방법
KR100972111B1 (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040608

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080618

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090618

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090618

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100618

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100618

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110618

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110618

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120618

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120618

Year of fee payment: 8

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120618

Year of fee payment: 8

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees