WO2007013363A1 - 真空処理装置 - Google Patents

真空処理装置 Download PDF

Info

Publication number
WO2007013363A1
WO2007013363A1 PCT/JP2006/314454 JP2006314454W WO2007013363A1 WO 2007013363 A1 WO2007013363 A1 WO 2007013363A1 JP 2006314454 W JP2006314454 W JP 2006314454W WO 2007013363 A1 WO2007013363 A1 WO 2007013363A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
vacuum processing
base material
vacuum
substrate
Prior art date
Application number
PCT/JP2006/314454
Other languages
English (en)
French (fr)
Inventor
Eiichi Iijima
Original Assignee
Ulvac, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac, Inc. filed Critical Ulvac, Inc.
Priority to CN2006800021302A priority Critical patent/CN101103136B/zh
Priority to DE112006001996.1T priority patent/DE112006001996B4/de
Priority to US11/993,783 priority patent/US8574366B2/en
Publication of WO2007013363A1 publication Critical patent/WO2007013363A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum

Definitions

  • the present invention relates to a vacuum processing apparatus.
  • an MgO film is used as a protective layer for electrodes and dielectrics.
  • a vacuum processing apparatus such as a vapor deposition apparatus is used.
  • FIG. 11 is a plan view showing a schematic configuration of a vacuum processing apparatus according to the prior art.
  • the vacuum processing apparatus 100 includes a heating chamber 114 that performs a heat treatment of a substrate to be processed, and a film formation chamber 115 that performs a film formation process of an MgO film on the heated substrate. Note that the substrate is mounted on a carrier, and a plurality of carriers are sequentially moved to each processing chamber, so that each processing is sequentially performed on each substrate.
  • the deposition chamber 115 a part of the MgO film adheres not only to the substrate but also to the carrier.
  • This Mg 2 O film has the property of easily adsorbing moisture and carbon dioxide in the atmosphere.
  • the water adsorbed on MgO is not easily removed, and when the carrier enters the film formation chamber 115, it is gasified (evaporated) by heating, and the degree of vacuum in the film formation chamber 115 becomes unstable.
  • the degree of vacuum in the deposition chamber 115 becomes unstable, the crystal orientation of the MgO film formed on the substrate becomes unstable. This is because the mixing ratio of the (111) crystal orientation component and the (200) crystal orientation component of the MgO film differs depending on the pressure during film formation. At the same time, it is known that the transmittance characteristic becomes unstable.
  • carbon dioxide (CO, CO) is adsorbed on MgO, C is taken into the MgO film and force sword luminescence.
  • Sense intensity is lowered.
  • C incorporated into the MgO film deteriorates the discharge characteristics of the plasma display panel.
  • the carrier moving path is kept in a vacuum state, and the substrate is taken in and out of the carrier through the load lock chamber, whereby water on the MgO film adhering to the carrier is removed.
  • a technique for preventing adsorption of water and carbon dioxide gas has been proposed (see, for example, Patent Document 1).
  • a carrier return transfer path (second transfer chamber 92, third transfer chamber 93, and first transfer chamber 112) is formed from the outlet of the film forming chamber 115 to the inlet of the heating chamber 114.
  • the transfer path, the heating chamber 114, and the film forming chamber 115 are maintained in a vacuum state, and a plurality of carrier vacuum circulation paths 108 are formed.
  • the first transfer chamber 112 is provided with a substrate access chamber 110 for the carrier. In this substrate loading / unloading chamber 110, the substrate before processing and the substrate after processing are taken out for a plurality of carriers circulating in the vacuum circulation path 108! /.
  • Patent Document 1 Japanese Patent Laid-Open No. 9-279341
  • Patent Document 2 Japanese Patent Laid-Open No. 2001-156158
  • the present invention has been made to solve the above-described problems, and an object thereof is to provide a vacuum processing apparatus capable of improving productivity.
  • a vacuum processing apparatus includes a plurality of carriers on which a base material is mounted, a circulation path that is maintained in a controlled atmosphere and in which the carriers circulate, and the circulation Provided in a path, and provided between a plurality of base material entrance / exit chambers for loading and unloading the base material with respect to the carrier, and the base material entrance / exit chambers in the circulation path, and vacuum treatment is performed on the base material And a vacuum processing chamber for performing the treatment.
  • the base material is heated on the upstream side of the vacuum processing chamber in the circulation path.
  • a cooling chamber for performing a cooling process on the base material may be provided on the downstream side of the vacuum processing chamber in the circulation path.
  • controlled atmosphere is an atmosphere in which the partial pressure of moisture and carbon dioxide gas is suppressed, and means an inert gas atmosphere such as a vacuum state or CDA (Clean Dry Air) or N.
  • CDA Cosmetic Dry Air
  • a base material is put into a carrier in a base material entrance / exit chamber, the base material is subjected to vacuum processing in a vacuum processing chamber, and the base material is taken out of the carrier in the same base material entrance / exit chamber. That is, one processing system is formed in one circulation path.
  • the base material is put into a carrier in the first base material entry / exit chamber, and the base material is subjected to vacuum processing in the next first vacuum processing chamber.
  • the substrate can be removed from the carrier in the second substrate access chamber.
  • the base material is put into the second base material entrance / exit chamber, and the base material is put into another carrier, and the base material is subjected to vacuum processing in the next second vacuum processing chamber, and then the next base material.
  • the base material can be taken out from the carrier in the base material entrance / exit 3 chamber.
  • a plurality of processing systems are formed along one circulation path, and substrate processing in each processing system can be performed in parallel. For this reason, even if the tact time of substrate processing is limited by the input and output times of the substrate, the substrate processing can be performed in only one processing system by performing the substrate processing in multiple processing systems in parallel. Productivity can be improved as compared with the prior art that performs the above.
  • the plurality of vacuum processing chambers include a first vacuum processing chamber and a second vacuum processing chamber, and the first vacuum processing chamber and the second vacuum processing chamber are different from each other. It may be provided to perform processing.
  • the plurality of vacuum processing chambers include a first vacuum processing chamber and a second vacuum processing chamber, and are downstream of the first vacuum processing chamber in the circulation path and the second vacuum processing chamber.
  • the base material transfer chamber is disposed upstream of the vacuum processing chamber, and the base material entrance / exit chamber is provided at the end of the transport chamber on the second vacuum processing chamber side! /, obviously.
  • the transfer chamber is formed on the base material before the processing in the second vacuum processing chamber is performed. Let it function as a cooling chamber for the cooling process.
  • the transfer chamber may function as a heating chamber for performing a heat treatment on the base material before being subjected to the treatment in the second vacuum treatment chamber.
  • the base material take-out chamber and the base material input chamber may be provided separately.
  • the removal of the substrate from one carrier in the substrate removal chamber and the loading of the substrate into another carrier in the substrate loading chamber are performed in parallel via the circulating carrier.
  • the tact time can be shortened as compared with the case where the base material is loaded into the same carrier after the base material is taken out in the base material entrance / exit chamber. Therefore, productivity can be improved.
  • the vacuum processing apparatus of the present invention a plurality of processing systems are formed along one circulation path, and substrate processing in each processing system can be performed in parallel. For this reason, even if the tact time of substrate processing is limited by the input and output times of the substrate, the substrate processing can be performed in only one processing system by performing the substrate processing in multiple processing systems in parallel. Productivity can be improved as compared with the prior art that performs the above.
  • FIG. 1 is a plan view showing a schematic configuration of a vacuum processing apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a perspective view of a carrier in the vacuum processing apparatus.
  • FIG. 3 is a side sectional view showing a schematic configuration of a first film forming chamber in the vacuum processing apparatus.
  • FIG. 4 is a plan view showing a schematic configuration of a substrate distribution system including the vacuum processing apparatus.
  • FIG. 5 is a plan view showing a schematic configuration of a substrate distribution system including the vacuum processing apparatus.
  • FIG. 6 is a plan view showing a schematic configuration of a vacuum processing apparatus according to a second embodiment of the present invention.
  • FIG. 7 is a plan view showing a schematic configuration of a vacuum processing apparatus according to a third embodiment of the present invention.
  • FIG. 8 is a plan view showing a schematic configuration of a vacuum processing apparatus according to a fourth embodiment of the present invention.
  • FIG. 9 is a plan view showing a schematic configuration of a vacuum processing apparatus according to a fifth embodiment of the present invention.
  • ⁇ 10 A plan view showing a schematic configuration of the vacuum processing apparatus according to the sixth embodiment of the present invention.
  • ⁇ 11] A plan view showing a schematic configuration of the vacuum processing apparatus according to the prior art.
  • Second entrance / exit room base material entrance / exit room
  • FIG. 1 is a plan view showing a schematic configuration of the vacuum processing apparatus according to the first embodiment.
  • the vacuum processing apparatus 1 according to the first embodiment includes a vacuum circulation path (circulation path) 8 of a carrier holding a substrate, a first entrance / exit chamber (base material entrance / exit chamber) 10 and a second entrance / exit chamber (base) for the carrier.
  • first film formation chamber (vacuum processing chamber) 15 provided in vacuum circulation path 8 from first entry / exit chamber 10 to second entry / exit chamber 20, and second entry / exit chamber 20 to first And a second film forming chamber (vacuum processing chamber) 25 provided in the vacuum circulation path 8 leading to the entrance / exit chamber 10.
  • a first transfer chamber (transfer chamber) 12 is arranged downstream of the second film formation chamber 25 and upstream of the first film formation chamber 15 in the vacuum circulation path 8, and the first transfer chamber
  • a first entrance / exit chamber 10 is provided at an end of the first film forming chamber 15 side in FIG.
  • the vacuum processing apparatus 1 includes a carrier that holds a substrate (base material).
  • FIG. 2 is a perspective view of the carrier.
  • the carrier 50 includes an inner frame body 54 inside the outer frame body 52, and a window portion 56 is formed in the inner frame body 54. Then, by placing the substrate 6 on the inner frame 54, the substrate 6 can be mounted on the carrier 50 with the substrate 6 exposed from the window portion 56.
  • FIG. 2 illustrates a case where six window portions 56 are formed in the inner frame body 54 and a single substrate 6 as a mother glass is mounted on the carrier 50. Then, by performing each of the following processes through one or a plurality of windows 56 formed in the inner frame 54, one or more panels can be taken out from the mother glass. ! /
  • the vacuum processing apparatus 1 includes a first film forming chamber 15.
  • FIG. 3 is a side sectional view showing a schematic configuration of the first film forming chamber.
  • the first film formation chamber 15 includes a vapor deposition chamber 60 below the vacuum circulation path 8.
  • An electron beam irradiation device 62 is provided on the side surface of the vapor deposition chamber 60.
  • a deflection coil 64 and a hearth 66 are provided inside the vapor deposition chamber 60. Then, the electron beam 63 is irradiated from the electron beam irradiation device 62, the trajectory is bent by the deflection coil 64, and is incident on the hearth 66.
  • the film formation chamber is not limited to a single carrier 50, and film formation may be performed on a force substrate on which a plurality of carriers are not continuously conveyed.
  • a first heating chamber (heating chamber) 14 is provided adjacent to the first film forming chamber 15.
  • the first heating chamber 14 heats the substrate before the film formation process, and is configured by arranging a heater or the like so as to face the front and back surfaces of the substrate.
  • a second calorific heat chamber (heating chamber) 24 and a second film forming chamber (vacuum processing chamber) 25 Adjacent to the first heating chamber 14 and the first film forming chamber 15 described above, a second calorific heat chamber (heating chamber) 24 and a second film forming chamber (vacuum processing chamber) 25 configured in the same manner are provided. It is provided. A first transfer chamber 12 is provided from the second film formation chamber 25 to the first heating chamber 14, and a second transfer chamber (transfer chamber) 22 is provided from the first film formation chamber 15 to the second heating chamber. All these chambers are kept in a vacuum state, and the carrier circulates in the inside. That is, the vacuum circulation path 8 of the carrier is formed by these chambers.
  • the first entrance / exit chamber 10 is connected to the first transfer chamber 12.
  • the first entry / exit chamber 10 is used for loading and unloading the substrate from / to the carrier, and includes a robot (not shown).
  • the first entrance / exit chamber 10 functions as a load lock chamber for the vacuum circulation path 8 and includes a vacuum pump and is connected to the first transfer chamber 12 via a valve.
  • the second transfer chamber 20 is connected to the second transfer chamber 22.
  • the vacuum circulation path 8 is provided with a plurality of substrate access chambers.
  • a first film forming chamber 15 is provided in the vacuum circulation path 8 between the first entrance / exit chamber 10 and the second entrance / exit chamber 20, and a vacuum between the second entrance / exit chamber 20 and the first entrance / exit chamber 10 is provided.
  • a second film formation chamber 25 is provided in the circulation path 8. Instead of the first film formation chamber 15 and the second film formation chamber 25, another vacuum treatment is performed. A barber may be provided.
  • a surface treatment chamber for MgO film can be provided instead of the second film formation chamber 25, a surface treatment chamber for MgO film can be provided.
  • the first transfer chamber 12 of the first embodiment functions as a cooling chamber for the substrate after the film formation process in the second film formation chamber 25. Therefore, the first entrance / exit chamber 10 is connected to the end of the first transfer chamber 12 on the downstream side (first film formation chamber 15 side). Specifically, it is an end portion other than the connection portion with the first heating chamber 14 (in the example of FIG. 1, the opposite end portion of the first heating chamber 14). Similarly, the second entrance / exit chamber 20 is connected to the end of the second transfer chamber 22 on the downstream side (second film formation chamber 25 side). Specifically, it is an end portion other than the connection portion with the second heating chamber 24 (in the example of FIG. 1, the opposite end portion of the second heating chamber 24).
  • FIG. 4 and 5 are plan views showing a schematic configuration of the substrate distribution system including the vacuum processing apparatus 1.
  • FIG. 4 is an enlarged view of part A in FIG. 5, and
  • FIG. 5 is an overall view.
  • a first substrate supply / discharge device 71 is provided on the side of the first access chamber 10 in the vacuum processing apparatus 1.
  • the first substrate supply / discharge device 71 includes a substrate transfer robot 76.
  • a pre-processing substrate rack 78 and a post-processing substrate rack 79 are distributed to the first substrate supply / discharge device 71.
  • a plurality of unprocessed substrates 6 are mounted on the unprocessed substrate rack 78 with the process surface facing upward. Therefore, the substrate 6 is taken out from the substrate rack 78 before processing, and the substrate 6 is sucked by the substrate transport robot 76, and the substrate 6 is inverted so that the processing surface faces downward. The inverted substrate 6 is supplied to the first entrance / exit chamber 10 of the vacuum processing apparatus 1.
  • the substrate transfer robot 76 sucks the processed substrate 6 taken out into the first entrance / exit chamber 10 and inverts the substrate 6 so that the processing surface faces upward.
  • the inverted substrate 6 is placed on the processed substrate rack 79.
  • the post-processing substrate rack 79 can mount a plurality of substrates 6 after processing.
  • the first substrate supply / discharge device 71 described above is arranged on the side of the first access chamber 10 in the vacuum processing apparatus 1.
  • a second substrate feeding / discharging device 72 configured in the same manner is also provided on the side of the second entrance / exit chamber 20.
  • Each board supply / discharge device 71, 72 faces the passage 82 of AGV (Automatic Guided Vehicle), and the passage 82 leads to the rack storage location 80.
  • AGV Automatic Guided Vehicle
  • the AGV takes out the pre-processing substrate rack 78 from the rack storage location 80 and delivers it to the first substrate supply / discharge device 71.
  • the AGV receives the processed substrate rack 79 from the first substrate supply / discharge device 71 and delivers it to the rack storage location 80.
  • the AGV takes out another pre-treatment substrate rack 78 from the rack storage location 80 and delivers it to the second substrate supply / discharge device 72.
  • the AGV receives the processed substrate rack 79 from the second substrate supply / discharge device 72 and delivers it to the rack storage location 80.
  • a substrate distribution system that reaches the post-process through the vacuum process from the pre-process is configured.
  • the rack supply conveyor extended from the previous process is branched and connected to the first substrate supply / discharge device 71 and the second substrate supply / discharge device 72, and the first substrate supply / discharge device 71 and the second substrate supply / discharge device.
  • the rack discharge conveyor extended from 72 may be joined and connected to the subsequent process.
  • the substrate before processing is put into the carrier of the vacuum circulation path 8 from the first entrance / exit chamber 10.
  • the substrate is subjected to heat treatment in the first heating chamber 14, subjected to film formation in the first film formation chamber, and cooled in the second transfer chamber 22.
  • the processed substrate is also taken out into the second entrance / exit chamber 20 by the carrier force of the vacuum circulation path 8.
  • the film formation process may be performed in the second film formation chamber 25 under conditions different from those of the first film formation chamber 15. That is, film forming processes with different conditions such as film forming temperature and pressure, process gas, and film forming speed can be performed.
  • film forming processes with different conditions such as film forming temperature and pressure, process gas, and film forming speed can be performed.
  • a (111) -oriented MgO film is formed in the first film formation chamber 15, and the first 2
  • Other processes other than the film forming process may be performed.
  • the first entrance / exit chamber 10 and the second entrance / exit chamber 20 of the substrate with respect to the carrier, and the vacuum circulation path between the first entrance / exit chamber 10 and the second entrance / exit chamber 20 8 has a first film formation chamber 15 and a second film formation chamber 25 provided in a vacuum circulation path 8 between the second entry / exit chamber 20 and the first entry / exit chamber 10.
  • two processing systems are formed along one circulation path, and substrate processing in each processing system can be performed in parallel. For this reason, even if the tact time of substrate processing is limited by the loading and unloading time of the substrate, the substrate processing can be performed in only one processing system by performing the substrate processing in two processing systems in parallel. Productivity can be improved compared to the conventional technology that performs this.
  • the first transfer chamber 12 functions as a cooling chamber, and the first entrance / exit chamber 10 is connected to the downstream end of the first transfer chamber 12.
  • the configuration According to this configuration, almost all of the vacuum circulation path can be used for production, and productivity can be improved.
  • film forming processes with different film forming conditions can be performed in the first film forming chamber 15 and the second film forming chamber 25.
  • a first film formation process can be performed in the first film formation chamber 15 to produce a first product
  • a second film formation process can be performed in the second film formation chamber 25 to produce a second product.
  • the second film forming process in the second film forming chamber 25 may be stopped and the first film forming process performed only in the first film forming chamber 15.
  • the second film forming chamber 25 is caused to function as a simple vacuum transfer path.
  • the film formation conditions in the second film formation chamber 25 are changed to match the film formation conditions in the first film formation chamber 15, and the first film formation chamber in both film formation chambers.
  • a film formation process may be performed.
  • the maintenance cycle of the first film forming chamber and the second film forming chamber can be doubled.
  • the vacuum processing apparatus according to the present embodiment can adapt flexibly to the production of various products. Even if it is necessary to perform the film formation process immediately before the subsequent process, the film formation process can be efficiently performed in an appropriate amount in a timely manner.
  • FIG. 6 is a plan view showing a schematic configuration of a vacuum processing apparatus according to the second embodiment of the present invention. Note that description of portions having the same configuration as in the first embodiment is omitted.
  • the first transfer chamber 12 of the vacuum processing apparatus 1B functions as a substrate heating chamber in the first film forming chamber 15 before the film forming process. Therefore, the first entrance / exit chamber 10 is connected to the end of the first transfer chamber 12 on the upstream side (second film formation chamber 25 side). Similarly, the second entrance / exit chamber 20 is connected to the end of the second transfer chamber 22 on the upstream side (first film formation chamber 15 side). According to this configuration, as in the first embodiment, almost all of the vacuum circulation path can be used for production, and productivity can be improved. In addition, the space for the vacuum processing apparatus can be saved and the equipment cost can be reduced.
  • the first transfer chamber 12 functions as a heating chamber
  • two heating chambers including the first heating chamber 14 are provided on the upstream side of the first film forming chamber 15.
  • the substrate temperature is raised from 70 ° C. to 180 ° C. in the first transfer chamber 12 and is raised from 180 ° C. to 250 ° C. in the first heating chamber 14.
  • FIG. 7 is a plan view showing a schematic configuration of the vacuum processing apparatus according to the third embodiment of the present invention. The The description of the parts having the same configurations as those in the above embodiments is omitted.
  • four sets of heating chambers (first heating chamber 14, second calorie heating chamber 24 are provided. , Third heating chamber 34 and fourth heating chamber 44), and film formation chambers (first film formation chamber 15, second film formation chamber 25, second film formation chamber 35, and fourth film formation chamber 45).
  • the four buffers are connected to substrate access chambers (base material access chambers) 10, 20, 30, 40, respectively.
  • the heating chamber and the film forming chamber are respectively provided in the vacuum circulation path (not shown in FIG. 7) between the four base material entrance / exit chambers.
  • the same effects as in the first embodiment can be obtained. Also, since more substrates can be processed with the same tact time, productivity can be improved compared to the first embodiment.
  • first embodiment two sets of substrate access chambers, heating chambers and film formation chambers are provided.
  • second embodiment four sets of substrate access chambers, heating chambers and film formation chambers are provided. It is also possible to provide more than a set of substrate access chambers, heating chambers and film formation chambers.
  • FIG. 8 is a plan view showing a schematic configuration of a vacuum processing apparatus according to the fourth embodiment of the present invention. Note that description of portions having the same configurations as those in the above embodiments is omitted.
  • the substrate loading / unloading chamber and the substrate unloading chamber are separated from each other as the substrate loading / unloading chamber.
  • the substrate loading chamber functions as a load lock chamber
  • the substrate take-out chamber functions as an unload lock chamber.
  • the substrate loading chamber and the substrate unloading chamber are provided with a vacuum pump and are connected to the transfer chamber via a valve.
  • the first transfer chamber 12 is connected to the first input chamber (base material input chamber) 11 and the second output chamber (extraction chamber) 29, and the second transfer chamber A first extraction chamber (extraction chamber) 19 and a second input chamber (input chamber) 21 are connected to 22. Then, the substrate loaded from the first loading chamber 11 passes through the first heating chamber 14 and the first film forming chamber 15 and is taken into the first removing chamber 19. It is getting out. Further, the substrate loaded from the second loading chamber 21 passes through the second heating chamber 24 and the second film forming chamber 25, and is taken out to the second removing chamber 29.
  • the substrate loading chamber and the substrate unloading chamber are provided separately, the removal of the substrate from one carrier in the substrate unloading chamber is performed. It is possible to carry out in parallel via a carrier that circulates the feeding and the feeding of the base material to another carrier in the base material feeding chamber. Therefore, as in the vacuum processing apparatus 1 according to the first embodiment, the tact time is reduced compared with the case where the base material is loaded into the same carrier after the base material is taken out in the base material entrance / exit chamber. It can be shortened. Therefore, productivity can be improved.
  • FIG. 9 is a plan view showing a schematic configuration of the vacuum processing apparatus according to the fifth embodiment of the present invention. Note that description of portions having the same configurations as those in the above embodiments is omitted.
  • a first cooling chamber (cooling chamber) 16 is provided on the downstream side of the first film forming chamber 15.
  • the first cooling chamber 16 cools the substrate after the film formation process in the first film formation chamber 15.
  • the cooling method may be natural cooling or forced cooling in which cooling plates are arranged to face the front and back surfaces of the substrate.
  • a second cooling chamber (cooling chamber) 26 is also connected to the downstream side of the second film forming chamber 25. In FIG. 9, the illustration of the vacuum circulation path is omitted.
  • the substrate loading chamber and the substrate unloading chamber are provided separately.
  • the second input chamber 21 is connected to the downstream side of the second transfer chamber 22
  • the first take-out chamber 19 is connected to the upstream side of the second transfer chamber 22. Therefore, it is difficult to cause the second transfer chamber 22 to function as a cooling chamber (the same applies to the first transfer chamber 12).
  • the substrate after the film formation process can be reliably cooled.
  • FIG. 10 is a plan view showing a schematic configuration of the vacuum processing apparatus according to the sixth embodiment of the present invention. Note that the description of the same components as those in the above embodiments is omitted.
  • the first exhaust chamber 13 is connected via a valve between the first transfer chamber 12 and the first heating chamber 14, and the first cooling chamber 16 and the second transfer chamber are connected.
  • the first vent chamber 17 is connected between the first vent chamber 17 and the valve 22.
  • Each of the first exhaust chamber 13 and the first vent chamber 17 includes a vacuum pump so that the inside can be evacuated.
  • the first input chamber 11 and the first extraction chamber 19 are not connected to a vacuum pump.
  • a second exhaust chamber 23 is provided between the second transfer chamber 22 and the second heating chamber 24, and a second vent chamber 27 is provided between the second cooling chamber 26 and the first transfer chamber 12. ing. Also in FIG. 10, the illustration of the vacuum circulation path is omitted.
  • controlled atmosphere is an atmosphere in which the partial pressure of moisture and carbon dioxide gas is suppressed, and it is a vacuum state or an inert gas atmosphere such as CDA (Clean Dry Air) or N.
  • the MgO film attached to the carrier does not adsorb moisture or CO. And volume
  • the substrate loading chamber functioning as a load lock chamber in the vacuum processing apparatus 1D according to the fourth embodiment is separated into a simple substrate loading chamber and an exhaust chamber in the vacuum processing apparatus 1F according to the present embodiment.
  • the substrate take-out chamber functioning as an unload lock chamber is separated into a simple substrate take-out chamber and a vent chamber in the vacuum processing apparatus 1F according to the present embodiment.
  • the tact time is shortened compared with the case where the substrate is loaded into the same carrier after evacuation in the load lock chamber where one carrier is arranged. be able to. Also, the substrate removal with one carrier force in the substrate removal chamber and another carrier are arranged It becomes possible to carry out in parallel through the carrier circulating through the evacuation in the vent chamber. Therefore, as in the vacuum processing apparatus 1D according to the fourth embodiment, the tact time can be shortened compared to the case where the evacuation is performed after the substrate is taken out in the unload lock chamber in which one carrier is arranged. Therefore, productivity can be improved.
  • various processes are performed on the substrate held vertically to the force carrier described as an example of performing various processes on the substrate held horizontally on the carrier.
  • the present invention can be applied.
  • the case where the vacuum circulation path is constructed in a planar manner has been described as an example.
  • the present invention can also be applied to the case where the vacuum circulation path is constructed systematically.
  • the case where electron beam deposition is performed in the vacuum processing chamber has been described as an example.
  • the present invention can also be applied to the case where sputtering film formation is performed in the vacuum processing chamber.
  • the present invention can be suitably used, for example, as a vacuum processing apparatus used in the manufacturing process of a plasma display panel.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Engineering & Computer Science (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

 基材が搭載される複数のキャリアと、制御された雰囲気に保持され、前記キャリアが循環移動する循環経路と、前記循環経路に設けられた、前記キャリアに対する前記基材の投入および取出しを行う複数の基材出入室と、前記循環経路における前記各基材出入室の間にそれぞれ設けられた、前記基材に真空処理を施す真空処理室と、を備えたことを特徴とする真空処理装置。

Description

明 細 書
真空処理装置
技術分野
[0001] 本発明は、真空処理装置に関するものである。
本願は、 2005年 07月 29日に出願された日本国特許出願第 2005— 221099号 に対し優先権を主張し、その内容をここに援用する。
背景技術
[0002] プラズマディスプレイパネルでは、電極および誘電体の保護層として MgO膜が使 用されている。この MgO膜の形成には、蒸着装置等の真空処理装置が用いられて いる。
図 11は、従来技術に係る真空処理装置の概略構成を示す平面図である。この真 空処理装置 100は、被処理基板の加熱処理を行う加熱室 114と、加熱後の基板に MgO膜の成膜処理を行う成膜室 115とを備えている。なお基板はキャリア上に搭載 し、複数のキャリアを各処理室に順次移動させて、各基板に対し上記各処理を順次 行うようになっている。
[0003] 成膜室 115では、基板だけでなくキャリアにも MgO膜の一部が付着する。この Mg O膜は大気中の水分や炭酸ガスを吸着しやすい性質を有する。 MgOに吸着した水 分はなかなか取れず、キャリアが成膜室 115に入った際、加熱によりガス化 (蒸発)し て、成膜室 115の真空度が不安定になる。成膜室 115の真空度が不安定になると、 基板に形成される MgO膜は、結晶配向性が不安定になる。これは、成膜時の圧力 により MgO膜の(111)結晶配向成分と (200)結晶配向成分の混在割合が異なるこ とによる。また同時に、透過率特性も不安定になることが知られている。一方、 MgO に炭酸ガス(CO、 CO)が吸着すると、 MgO膜中に Cが取り込まれ、力ソードルミネッ
2
センス強度が低くなる。すなわち、 MgO膜に取り込まれた Cは、プラズマディスプレイ パネルにおける放電特性を悪くする。
[0004] そこで、キャリアの移動経路を真空状態に保持するとともに、ロードロック室を介して キャリアに対する基板の出し入れを行うことにより、キャリアに付着した MgO膜への水 分や炭酸ガスの吸着を防止する技術が提案されて ヽる (例えば、特許文献 1参照)。 図 11の真空処理装置では、成膜室 115の出口から加熱室 114の入口までキャリア のリターン搬送路 (第 2搬送室 92、第 3搬送室 93および第 1搬送室 112)が形成され 、この搬送路、加熱室 114および成膜室 115が真空状態に保持されて、複数のキヤ リアの真空循環経路 108が形成されている。そして、第 1搬送室 112には、キャリアに 対する基板の出入室 110が設けられている。この基板出入室 110において、真空循 環経路 108を循環する複数のキャリアに対して、処理前基板の投入および処理後基 板の取出しが行われるようになって!/、る。
特許文献 1:特開平 9— 279341号公報
特許文献 2 :特開 2001—156158号公報
発明の開示
発明が解決しょうとする課題
[0005] し力しながら、キャリアのリターン搬送路は生産に寄与しな 、ので、余分な装置設置 スペースが必要となり、多大な設備コストが必要になるという問題がある。
そこで、そのリターン搬送路中に成膜室や他の処理室を設置する技術が提案され ている(例えば、特許文献 2参照)。し力しながら、上述した真空処理装置における基 板処理のタクトタイムは、基板の投入および取出し時間に律速されるので、生産性の 向上に限界がある。
[0006] 本発明は、上記課題を解決するためになされたものであって、生産性を向上するこ とが可能な真空処理装置の提供を目的とする。
課題を解決するための手段
[0007] 上記目的を達成するため、本発明に係る真空処理装置は、基材が搭載される複数 のキャリアと、制御された雰囲気に保持され、前記キャリアが循環移動する循環経路 と、前記循環経路に設けられ、前記キャリアに対する前記基材の投入および取出しを 行うための複数の基材出入室と、前記循環経路における前記各基材出入室の間に それぞれ設けられ、前記基材に真空処理を施すための真空処理室と、を備えたこと を特徴とする。
なお、前記循環経路における前記真空処理室の上流側には、前記基材に加熱処 理を施すための加熱室が設けられて ヽてもよ ヽ。
また、前記循環経路における前記真空処理室の下流側には、前記基材に冷却処 理を施すための冷却室が設けられて 、てもよ 、。
ここで「制御された雰囲気」とは、水分および炭酸ガスの分圧が抑制された雰囲気 であり、真空状態または CDA (Clean Dry Air)や N等の不活性ガス雰囲気をいう。
2
従来技術では、基材出入室においてあるキャリアに基材を投入し、真空処理室に おいてその基材に真空処理を施し、同じ基材出入室においてその基材をキャリアか ら取出していた。すなわち、一つの循環経路に一つの処理系統が形成されていた。 これに対して、本発明の構成によれば、第 1の基材出入室においてあるキャリアに基 材を投入し、次の第 1の真空処理室においてその基材に真空処理を施し、次の第 2 の基材出入室においてその基材をキャリアから取出すことができる。これと並行して、 第 2の基材出入室にぉ 、て他のキャリアに基材を投入し、次の第 2の真空処理室に おいてその基材に真空処理を施し、次の第 3の基材出入室においてその基材をキヤ リアから取出すことができる。このように、一つの循環経路に沿って複数の処理系統 が形成され、各処理系統における基材処理を並行して実施することができる。そのた め、基材処理のタクトタイムが基材の投入および取出し時間に律速されても、複数の 処理系統において基材処理を並行して実施することにより、一つの処理系統のみで 基材処理を行う従来技術と比べて、生産性を向上させることができる。
[0008] また、前記複数の真空処理室は、第 1の真空処理室と第 2の真空処理室とを含み、 前記第 1の真空処理室と前記第 2の真空処理室とは、互いに異なる処理を行うため に設けられていてもよい。
この構成によれば、多種の生産に臨機応変に対応することが可能になり、生産性を 向上することができる。
[0009] また、前記複数の真空処理室は、第 1の真空処理室と第 2の真空処理室とを含み、 前記循環経路における前記第 1の真空処理室の下流側であって前記第 2の真空処 理室の上流側には、前記基材の搬送室が配置され、前記搬送室の前記第 2の真空 処理室側の端部に、前記基材出入室が設けられて!/、てもよ 、。
また、前記搬送室は、前記第 2の真空処理室での処理が施される前の前記基材に 冷却処理を施すための、冷却室として機能するようにしてもょ 、。
さらに、前記搬送室は、前記第 2の真空処理室での処理が施される前の前記基材 に加熱処理を施すための、加熱室として機能するようにしてもょ 、。
これらの構成によれば、真空循環経路のほとんどすべてを生産に利用することが可 能になり、生産性を向上することができる。
[0010] また、前記基材出入室として、前記基材の取出室と前記基材の投入室とが分離し て設けられていてもよい。
この構成によれば、基材取出室における一のキャリアからの基材の取出しと基材投 入室における他のキャリアへの基材の投入とを循環するキャリアを介して並行して実 施することが可能になり、基材出入室において一のキャリア力も基材を取出した後に 同じキャリアに対して基材の投入を行う場合と比べて、タクトタイムを短縮することがで きる。したがって、生産性を向上させることができる。
発明の効果
[0011] 本発明に係る真空処理装置によれば、一つの循環経路に沿って複数の処理系統 が形成され、各処理系統における基材処理を並行して実施することができる。そのた め、基材処理のタクトタイムが基材の投入および取出し時間に律速されても、複数の 処理系統において基材処理を並行して実施することにより、一つの処理系統のみで 基材処理を行う従来技術と比べて、生産性を向上させることができる。
図面の簡単な説明
[0012] [図 1]本発明の第 1実施形態に係る真空処理装置の概略構成を示す平面図である。
[図 2]同真空処理装置におけるキャリアの斜視図である。
[図 3]同真空処理装置における第 1成膜室の概略構成を示す側面断面図である。
[図 4]同真空処理装置を含む基板流通システムの概略構成を示す平面図である。
[図 5]同真空処理装置を含む基板流通システムの概略構成を示す平面図である。
[図 6]本発明の第 2実施形態に係る真空処理装置の概略構成を示す平面図である。
[図 7]本発明の第 3実施形態に係る真空処理装置の概略構成を示す平面図である。
[図 8]本発明の第 4実施形態に係る真空処理装置の概略構成を示す平面図である。
[図 9]本発明の第 5実施形態に係る真空処理装置の概略構成を示す平面図である。 圆 10]本発明の第 6実施形態に係る真空処理装置の概略構成を示す平面図である 圆 11]従来技術に係る真空処理装置の概略構成を示す平面図である。
符号の説明
1, IB, 1C, ID, IE, 1F 真空処理装置
8 真空循環経路 (循環経路)
10 第 1出入室 (基材出入室)
11 第 1投入室 (投入室)
12 第 1搬送室 (搬送室)
14 第 1加熱室 (加熱室)
15 第 1成膜室 (真空処理室)
16 第 1冷却室 (冷却室)
19 第 1取出室 (取出室)
20 第 2出入室 (基材出入室)
21 第 2投入室 (投入室)
22 第 2搬送室 (搬送室)
24 第 2加熱室 (加熱室)
25 第 2成膜室 (真空処理室)
26 第 2冷却室 (冷却室)
29 第 2取出室 (取出室)
30 第 3出入室 (基材出入室)
34 第 3加熱室 (加熱室)
35 第 3成膜室 (真空処理室)
40 第 4出入室 (基材出入室)
44 第 4加熱室 (加熱室)
45 第 4成膜室 (真空処理室)
50 キャリア
発明を実施するための最良の形態 [0014] 以下、本発明の実施形態につき、図面を参照して説明する。なお、以下の説明に 用いる各図面では、各部材を認識可能な大きさとするため、各部材の縮尺を適宜変 更している。
また、以下にはプラズマディスプレイパネルの電極および誘電体の保護層として M gO膜を形成する場合を例にして説明するが、これ以外の被膜を形成する場合に本 発明を適用することも可能である。
[0015] (第 1実施形態)
最初に、本発明の第 1実施形態に係る真空処理装置について説明する。 図 1は、第 1実施形態に係る真空処理装置の概略構成を示す平面図である。第 1 実施形態に係る真空処理装置 1は、基板を保持するキャリアの真空循環経路 (循環 経路) 8と、キャリアに対する基板の第 1出入室 (基材出入室) 10および第 2出入室( 基材出入室) 20と、第 1出入室 10から第 2出入室 20に至る真空循環経路 8中に設け られた第 1成膜室 (真空処理室) 15と、第 2出入室 20から第 1出入室 10に至る真空 循環経路 8中に設けられた第 2成膜室 (真空処理室) 25とを備えている。そして、真 空循環経路 8における第 2成膜室 25の下流側であって第 1成膜室 15の上流側には 、第 1搬送室 (搬送室) 12が配置され、その第 1搬送室 12における第 1成膜室 15側 の端部に第 1出入室 10が設けられている。
[0016] (真空処理装置)
真空処理装置 1は、基板 (基材)を保持するキャリアを備えて 、る。
図 2は、キャリアの斜視図である。キャリア 50は外枠体 52の内側に内枠体 54を備え 、その内枠体 54には窓部 56が形成されている。そして、内枠体 54に基板 6を載置す ることにより、窓部 56から基板 6を露出させた状態で、キャリア 50に基板 6を搭載しう るようになっている。図 2には、内枠体 54に 6個の窓部 56が形成され、キャリア 50に 1 枚のマザ一ガラスとしての基板 6が搭載された場合を例示している。そして、内枠体 5 4に形成された 1個または複数個の窓部 56を通して以下の各処理を行うことにより、 マザ一ガラスから 1面または複数面のパネルを取り出すことができるようになって!/、る
[0017] 図 1に示すように、真空処理装置 1は第 1成膜室 15を備えている。 図 3は、第 1成膜室の概略構成を示す側面断面図である。第 1成膜室 15は、真空 循環経路 8の下方に蒸着チャンバ 60を備えて 、る。その蒸着チャンバ 60の側面に は、電子ビーム照射装置 62が設けられている。また蒸着チャンバ 60の内部には、偏 向コイル 64およびハース 66が設けられている。そして、電子ビーム照射装置 62から 電子ビーム 63を照射し、その軌道を偏向コイル 64で曲げて、ハース 66に入射させる 。これにより、ハース 66に充填された MgO等の成膜材料 67が加熱されて蒸発する。 蒸発した成膜材料 67は、真空循環経路 8の窓部 68を通って、キャリア 50に搭載され た基板 6に付着する。これにより、基板 6に成膜処理が施されるようになつている。な お成膜室では一つのキャリア 50に限られず、複数のキャリアが連続して搬送されな 力 基板に成膜が行われることもある。
[0018] 図 1に示すように、第 1成膜室 15に隣接して第 1加熱室 (加熱室) 14が設けられて いる。第 1加熱室 14は、成膜処理前の基板に対して加熱処理を施すものであり、基 板の表裏面と対向するようにヒータ等を配置して構成されて 、る。
[0019] 上述した第 1加熱室 14および第 1成膜室 15に隣接して、同様に構成された第 2カロ 熱室 (加熱室) 24および第 2成膜室 (真空処理室) 25が設けられて 、る。その第 2成 膜室 25から第 1加熱室 14にかけて第 1搬送室 12が設けられ、第 1成膜室 15から第 2 加熱室にかけて第 2搬送室 (搬送室) 22が設けられている。これら各室はすべて真空 状態に保持され、その内部をキャリアが循環するようになっている。すなわち、これら 各室によりキャリアの真空循環経路 8が形成されて 、る。
[0020] 一方、第 1搬送室 12には、第 1出入室 10が接続されている。この第 1出入室 10は、 キャリアに対して基板の投入および取出しを行うものであり、図示しないロボット等を 備えている。また第 1出入室 10は、真空循環経路 8に対するロードロック室として機能 するものであり、真空ポンプを備えるとともに、バルブを介して第 1搬送室 12に接続さ れている。同様に、第 2搬送室 22には第 2出入室 20が接続されている。
[0021] このように、真空循環経路 8には複数の基板出入室が設けられている。そして、第 1 出入室 10から第 2出入室 20までの間の真空循環経路 8中には第 1成膜室 15が設け られ、第 2出入室 20から第 1出入室 10までの間の真空循環経路 8中には第 2成膜室 25が設けられている。なお第 1成膜室 15および第 2成膜室 25に代えて、他の真空処 理室を設けてもよい。例えば第 2成膜室 25に代えて、 MgO膜の表面処理室を設ける ことも可能である。
[0022] なお第 1実施形態の第 1搬送室 12は、第 2成膜室 25における成膜処理後の基板 の冷却室として機能するようになっている。そのため、第 1出入室 10は、第 1搬送室 1 2の下流側 (第 1成膜室 15側)の端部に接続されている。具体的には、第 1加熱室 14 との接続部分以外の端部(図 1の例では、第 1加熱室 14の反対側端部)である。また 同様に、第 2出入室 20は、第 2搬送室 22の下流側 (第 2成膜室 25側)の端部に接続 されている。具体的には、第 2加熱室 24との接続部分以外の端部(図 1の例では、第 2加熱室 24の反対側端部)である。
[0023] (基板流通システム)
図 4および図 5は、真空処理装置 1を含む基板流通システムの概略構成を示す平 面図である。図 4は図 5の A部における拡大図であり、図 5は全体図である。図 4に示 すように、真空処理装置 1における第 1出入室 10の側方には、第 1基板給排装置 71 が設けられている。この第 1基板給排装置 71は、基板搬送ロボット 76を備えている。 なお第 1基板給排装置 71に対して、処理前基板ラック 78および処理後基板ラック 79 が配給されている。
[0024] 処理前基板ラック 78には、処理前の複数の基板 6が処理面を上向きにして搭載さ れている。そこで、処理前基板ラック 78から基板 6を取り出し、基板搬送ロボット 76に よりその基板 6を吸着し、処理面が下向きとなるように基板 6を反転する。反転された 基板 6は、真空処理装置 1の第 1出入室 10に供給される。
[0025] また基板搬送ロボット 76は、第 1出入室 10に取出された処理後の基板 6を吸着し、 処理面が上向きとなるように基板 6を反転する。反転された基板 6は、処理後基板ラッ ク 79に載置される。この処理後基板ラック 79は、処理後の複数の基板 6を搭載しうる ようになっている。
[0026] 図 5に示すように、真空処理装置 1における第 1出入室 10の側方に、上述した第 1 基板給排装置 71が配置されている。また第 2出入室 20の側方にも、同様に構成され た第 2基板給排装置 72が配設されている。各基板給排装置 71, 72は AGV (Automa tic Guided Vehicle)の通路 82に面し、その通路 82はラック保管場所 80に通じている そのラック保管場所 80には、前工程から搬送された処理前基板ラック 78と、後工程 に搬送される処理後基板ラック 79とが、一時的に仮置きされている。
[0027] そして AGVが、ラック保管場所 80から処理前基板ラック 78を取出し、第 1基板給排 装置 71に配送する。その AGVは、第 1基板給排装置 71から処理後基板ラック 79を 受け取り、ラック保管場所 80に配送する。次に AGVは、ラック保管場所 80から他の 処理前基板ラック 78を取出し、第 2基板給排装置 72に配送する。その AGVは、第 2 基板給排装置 72から処理後基板ラック 79を受け取り、ラック保管場所 80に配送する 。以上により、前工程カゝら真空処理工程を介して後工程に至る基板流通システムが 構成されている。
[0028] なお、上記以外の基板流通システムを採用することも可能である。例えば、前工程 から延設されたラック供給コンベアを分岐して第 1基板給排装置 71および第 2基板給 排装置 72に接続するとともに、第 1基板給排装置 71および第 2基板給排装置 72か ら延設したラック排出コンベアを合流させて後工程に接続してもよい。
[0029] (真空処理方法)
本実施形態の真空処理装置 1を使用した真空処理方法について、図 1を用いて説 明する。まず処理前の基板を、第 1出入室 10から真空循環経路 8のキャリアに投入 する。その基板に対して、第 1加熱室 14で加熱処理を施し、第 1成膜室で成膜処理 を施し、第 2搬送室 22で冷却処理を施す。そして処理後の基板を、真空循環経路 8 のキャリア力も第 2出入室 20に取り出す。
[0030] これと並行して、他の処理前の基板を、第 2出入室 20から真空循環経路 8のキヤリ ァに投入する。その基板に対して、第 2加熱室 24で加熱処理を施し、第 2成膜室で 成膜処理を施し、第 1搬送室 12で冷却処理を施す。そして処理後の基板を、真空循 環経路 8のキャリア力も第 1出入室 10に取り出す。このように、本実施形態の真空処 理装置では、真空循環経路 8に沿って 2つの処理系統が形成されている。
[0031] なお第 2成膜室 25において、第 1成膜室 15とは異なる条件で成膜処理を行っても よい。すなわち、成膜温度や圧力、プロセスガス、成膜速度等の条件が異なる成膜 処理を行うことができる。例えば、第 1成膜室 15で(111)配向の MgO膜を形成し、第 2成膜室 25で (220)配向の MgO膜を形成することも可能である。また第 1成膜室 15 および第 2成膜室 25において、厚さの異なる基板に成膜処理を行うことも可能である また、第 1成膜室 15および Zまたは第 2成膜室 25において、成膜処理以外の他の 処理を行ってもよい。
[0032] 本実施形態に係る真空処理装置 1では、キャリアに対する基板の第 1出入室 10お よび第 2出入室 20と、第 1出入室 10から第 2出入室 20までの間の真空循環経路 8中 に設けられた第 1成膜室 15と、第 2出入室 20から第 1出入室 10までの間の真空循環 経路 8中に設けられた第 2成膜室 25とを有する構成とした。この構成によれば、一つ の循環経路に沿って二つの処理系統が形成され、各処理系統における基材処理を 並行して実施することができる。そのため、基材処理のタクトタイムが基材の投入およ び取出し時間に律速されても、二つの処理系統において基材処理を並行して実施 することにより、一つの処理系統のみで基材処理を行う従来技術と比べて、生産性を 向上させることができる。
[0033] また、本実施形態に係る真空処理装置 1では、第 1搬送室 12を冷却室として機能さ せ、第 1搬送室 12の下流側端部に第 1出入室 10が接続されている構成とした。この 構成によれば、真空循環経路のほとんどすべてを生産に利用することが可能になり、 生産性を向上することができる。
また、真空処理装置の省スペース化および設備コストの低減を図ることができる。
[0034] また、本実施形態に係る真空処理装置 1では、第 1成膜室 15および第 2成膜室 25 において成膜条件の異なる成膜処理を行うことができる。例えば、第 1成膜室 15で第 1成膜処理を行って第 1製品を生産し、第 2成膜室 25で第 2成膜処理を行って第 2製 品を生産することができる。なお第 1製品の生産のみに変更する場合には、第 2成膜 室 25での第 2成膜処理を停止して、第 1成膜室 15のみで第 1成膜処理を行えばよい 。この場合、第 2成膜室 25を単なる真空搬送路として機能させる。また第 1製品の生 産量を増加させる場合には、第 2成膜室 25の成膜条件を変更して第 1成膜室 15の 成膜条件に一致させ、両方の成膜室で第 1成膜処理を行えばよい。逆に第 1製品の 生産調整を行う場合には、第 1成膜室および第 2成膜室を交互に使用することにより 、第 1成膜室および第 2成膜室のメンテナンス周期を 2倍に伸ばすことができる。この ように、本実施形態の真空処理装置は、多品種の生産に臨機応変に対応することが できる。また後工程の直前に成膜処理を行う必要があっても、適時に適量だけ効率 的に成膜処理を行うことができる。
[0035] し力も、第 1成膜室 15および第 2成膜室 25において同種の被膜を形成する場合に は、一方の成膜室でキャリアに付着した被膜が、他方の成膜室での成膜処理に悪影 響を及ぼすおそれは少な 、。なお異種の被膜によるコンタミネーシヨンが問題になら ない場合には、第 1成膜室 15および第 2成膜室 25において異種の被膜を形成する ことも可能である。
[0036] (第 2実施形態)
図 6は、本発明の第 2実施形態に係る真空処理装置の概略構成を示す平面図であ る。なお、上記第 1実施形態と同様の構成となる部分については、その説明を省略す る。
第 2実施形態に係る真空処理装置 1Bの第 1搬送室 12は、第 1成膜室 15における 成膜処理前の基板の加熱室として機能するようになっている。そのため、第 1出入室 10は第 1搬送室 12の上流側 (第 2成膜室 25側)の端部に接続されている。また同様 に、第 2出入室 20は第 2搬送室 22の上流側 (第 1成膜室 15側)の端部に接続されて いる。この構成によれば、第 1実施形態と同様に、真空循環経路のほとんどすべてを 生産に利用することが可能になり、生産性を向上することができる。また真空処理装 置の省スペース化および設備コストの低減を図ることができる。
[0037] なお、第 1搬送室 12を加熱室として機能させるので、第 1加熱室 14と合わせて二つ の加熱室が第 1成膜室 15の上流側に設けられることになる。この場合、例えば第 1搬 送室 12において基板温度を 70°Cから 180°Cに上昇させ、第 1加熱室 14において 1 80°Cから 250°Cに上昇させるようにする。このように加熱処理を分担させることにより 、タクトタイムを短縮することが可能になり、生産性を向上させることができる。またヒー タの負荷を低減することができる。
[0038] (第 3実施形態)
図 7は、本発明の第 3実施形態に係る真空処理装置の概略構成を示す平面図であ る。なお上記各実施形態と同様の構成となる部分については、その説明を省略する 第 3実施形態に係る真空処理装置 1Cでは、 4組の加熱室 (第 1加熱室 14、第 2カロ 熱室 24、第 3加熱室 34、及び第 4加熱室 44)、および成膜室 (第 1成膜室 15、第 2成 膜室 25、第 2成膜室 35、及び第 4成膜室 45)が、バッファ 12, 22, 32, 42を介して 相互に接続され、真空循環経路が構成されている。そして 4個のバッファには、それ ぞれ基板出入室 (基材出入室) 10, 20, 30, 40が接続されている。これにより、 4個 の基材出入室の間の真空循環経路(図 7において図示省略)に、それぞれ加熱室お よび成膜室が設けられた構成となって 、る。
[0039] 第 3実施形態に係る真空処理装置 1Cでは、第 1実施形態におけると同様の効果を 奏することができる。し力も、同じタクトタイムでより多くの基板を処理することができる ので、第 1実施形態より生産性を向上させることができる。
なお、第 1実施形態では 2組の基板出入室、加熱室および成膜室を設け、第 2実施 形態では 4組の基板出入室、加熱室および成膜室を設けたが、 3組または 5組以上 の基板出入室、加熱室および成膜室を設けることも可能である。
[0040] (第 4実施形態)
図 8は、本発明の第 4実施形態に係る真空処理装置の概略構成を示す平面図であ る。なお、上記各実施形態と同様の構成となる部分については、その説明を省略す る。
第 4実施形態に係る真空処理装置 1Dでは、基板出入室として、処理前基板の投 入室と処理後基板の取出室とが分離して設けられている。基板投入室はロードロック 室として機能するものであり、基板取出室はアンロードロック室として機能するもので ある。そのため、基板投入室および基板取出室は真空ポンプを備え、バルブを介し て搬送室に接続されて 、る。
[0041] 第 4実施形態に係る真空処理装置 1Dでは、第 1搬送室 12に第 1投入室 (基材投 入室) 11および第 2取出室 (取出室) 29が接続され、第 2搬送室 22に第 1取出室 (取 出室) 19および第 2投入室 (投入室) 21が接続されている。そして、第 1投入室 11か ら投入された基板は、第 1加熱室 14および第 1成膜室 15を経て、第 1取出室 19に取 出されるようになつている。また第 2投入室 21から投入された基板は、第 2加熱室 24 および第 2成膜室 25を経て、第 2取出室 29に取出されるようになって 、る。
[0042] 第 4実施形態に係る真空処理装置 1Dでは、基板投入室と基板取出室とが分離し て設けられている構成としたので、基材取出室における一のキャリアからの基材の取 出しと基材投入室における他のキャリアへの基材の投入とを循環するキャリアを介し て並行して実施することが可能になる。そのため、第 1実施形態に係る真空処理装置 1のように、基材出入室において一のキャリア力も基材を取出した後に同じキャリアに 対して基材の投入を行う場合と比べて、タクトタイムを短縮することができる。したがつ て、生産性を向上させることができる。
[0043] (第 5実施形態)
図 9は、本発明の第 5実施形態に係る真空処理装置の概略構成を示す平面図であ る。なお、上記各実施形態と同様の構成となる部分については、その説明を省略す る。
第 5実施形態に係る真空処理装置 1Eでは、第 1成膜室 15の下流側に第 1冷却室( 冷却室) 16が設けられている。この第 1冷却室 16は、第 1成膜室 15による成膜処理 後の基板を冷却するものである。その冷却方法は、基板の表裏面と対向するように冷 却板を配置した強制冷却でもよぐ自然冷却でもよい。同様に、第 2成膜室 25の下流 側にも、第 2冷却室 (冷却室) 26が接続されている。なお、図 9においては、真空循環 経路の図示を省略している。
[0044] 第 5実施形態に係る真空処理装置 1Eでは、第 4実施形態に係る真空処理装置 1D と同様に、基板投入室と基板取出室とが分離して設けられている。この場合、第 2搬 送室 22の下流側に第 2投入室 21を接続し、第 2搬送室 22の上流側に第 1取出室 19 を接続することになる。そのため、第 2搬送室 22を冷却室として機能させることが難し い (第 1搬送室 12についても同様である)。そこで、成膜室の下流側に冷却室を設け ることにより、成膜処理後の基板を確実に冷却することができる。
[0045] (第 6実施形態)
図 10は、本発明の第 6実施形態に係る真空処理装置の概略構成を示す平面図で ある。なお上記各実施形態と同様の構成となる部分については、その説明を省略す る。
第 6実施形態に係る真空処理装置 IFでは、第 1搬送室 12と第 1加熱室 14との間に バルブを介して第 1排気室 13が接続され、第 1冷却室 16と第 2搬送室 22との間にバ ルブを介して第 1ベント室 17が接続されている。第 1排気室 13および第 1ベント室 17 はいずれも真空ポンプを備え、内部を真空排気しうるようになっている。逆に、第 1投 入室 11および第 1取出室 19には真空ポンプが接続されていない。同様に、第 2搬送 室 22と第 2加熱室 24との間に第 2排気室 23が設けられ、第 2冷却室 26と第 1搬送室 12との間に第 2ベント室 27が設けられている。なお、図 10においても、真空循環経 路の図示を省略している。
[0046] そして、第 1搬送室 12および第 2搬送室 22の内部は、制御された雰囲気に保持さ れている。ここで「制御された雰囲気」とは、水分および炭酸ガスの分圧が抑制された 雰囲気であり、真空状態または CDA (Clean Dry Air)や N等の不活性ガス雰囲気を
2
いう。なお第 1排気室 13から第 1ベント室 17までの間および第 2排気室 23から第 2ベ ント室 27までの間は、真空に保持されている。すなわち、キャリアの循環経路はすべ て、水分や COの分圧が抑制された「制御された雰囲気」に保持されている。これに
2
より、キャリアに付着した MgO膜が、水分や COを吸着することはない。そして、容積
2
の大きい第 1搬送室 12および第 2搬送室 22の内部を真空に保持する必要がないの で、設備コストおよび製造コストを大幅に低減することができるようになつている。
[0047] また、第 4実施形態に係る真空処理装置 1Dではロードロック室として機能する基板 投入室が、本実施形態に係る真空処理装置 1Fでは単なる基板投入室と排気室とに 分離されている。また、第 4実施形態に係る真空処理装置 1Dではアンロードロック室 として機能する基板取出室が、本実施形態に係る真空処理装置 1Fでは単なる基板 取出室とベント室とに分離されている。これにより、基板投入室における一のキャリア への基板投入と他のキャリアが配置された排気室での真空排気とを循環するキャリア を介して並行して実施することが可能になる。そのため、第 4実施形態に係る真空処 理装置 1Dのように、一のキャリアが配置されたロードロック室において真空排気後に 同じキャリアに対して基板投入を行う場合と比べて、タクトタイムを短縮することができ る。また、基板取出室での一のキャリア力もの基板取出しと他のキャリアが配置された ベント室での真空排気とを循環するキャリアを介して並行して実施することが可能に なる。そのため、第 4実施形態に係る真空処理装置 1Dのように、一のキャリアが配置 されたアンロードロック室において基板取出し後に真空排気を行う場合と比べて、タク トタイムを短縮することができる。したがって、生産性を向上させることができる。
[0048] なお、本発明の技術範囲は、上述した各実施形態に限定されるものではなぐ本発 明の趣旨を逸脱しない範囲において、上述した各実施形態に種々の変更をカ卩えたも のを含む。
すなわち、各実施形態で挙げた具体的な材料や構成などはほんの一例に過ぎず、 適宜変更が可能である。
[0049] 例えば、上記各実施形態では、キャリアに水平に保持された基板に対して各種処 理を行う場合を例にして説明した力 キャリアに垂直に保持された基板に対して各種 処理を行う場合に本発明を適用することも可能である。また、上記各実施形態では、 真空循環経路を平面的に構築する場合を例にして説明したが、真空循環経路を立 体的に構築する場合に本発明を適用することも可能である。また、上記各実施形態 では、真空処理室において電子ビーム蒸着を行う場合を例にして説明したが、真空 処理室においてスパッタ成膜を行う場合に本発明を適用することも可能である。 産業上の利用可能性
[0050] 本発明は、例えばプラズマディスプレイパネルの製造工程において使用する真空 処理装置として、好適に利用することができる。

Claims

請求の範囲
[1] 基材が搭載される複数のキャリアと、
制御された雰囲気に保持され、前記キャリアが循環移動する循環経路と、 前記循環経路に設けられ、前記キャリアに対する前記基材の投入および取出しを 行うための複数の基材出入室と、
前記循環経路における前記各基材出入室の間にそれぞれ設けられ、前記基材に 真空処理を施すための真空処理室と、
を備えたことを特徴とする真空処理装置。
[2] 前記循環経路における前記真空処理室の上流側には、前記基材に加熱処理を施 すための加熱室が設けられて!/、ることを特徴とする請求項 1に記載の真空処理装置
[3] 前記循環経路における前記真空処理室の下流側には、前記基材に冷却処理を施 すための冷却室が設けられて!/、ることを特徴とする請求項 1に記載の真空処理装置
[4] 前記複数の真空処理室は、第 1の真空処理室と第 2の真空処理室とを含み、 前記第 1の真空処理室と前記第 2の真空処理室とは、互いに異なる処理を行うため に設けられて ヽることを特徴とする請求項 1に記載の真空処理装置。
[5] 前記複数の真空処理室は、第 1の真空処理室と第 2の真空処理室とを含み、 前記循環経路における前記第 1の真空処理室の下流側であって前記第 2の真空 処理室の上流側には、前記基材の搬送室が配置され、
前記搬送室の前記第 2の真空処理室側の端部に、前記基材出入室が設けられて V、ることを特徴とする請求項 1に記載の真空処理装置。
[6] 前記搬送室は、前記第 2の真空処理室での処理が施される前の前記基材に冷却 処理を施すための、冷却室として機能することを特徴とする請求項 5に記載の真空処 理装置。
[7] 前記搬送室は、前記第 2の真空処理室での処理が施される前の前記基材に加熱 処理を施すための、加熱室として機能することを特徴とする請求項 5に記載の真空処 理装置。 前記基材出入室として、前記基材の取出室と前記基材の投入室とが分離して設け られて ヽることを特徴とする請求項 1に記載の真空処理装置。
前記基材出入室として、前記基材の取出室と前記基材の投入室とが分離して設け られて ヽることを特徴とする請求項 5に記載の真空処理装置。
PCT/JP2006/314454 2005-07-29 2006-07-21 真空処理装置 WO2007013363A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2006800021302A CN101103136B (zh) 2005-07-29 2006-07-21 真空处理装置
DE112006001996.1T DE112006001996B4 (de) 2005-07-29 2006-07-21 Vakuumbearbeitungsvorrichtung
US11/993,783 US8574366B2 (en) 2005-07-29 2006-07-21 Vacuum processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-221099 2005-07-29
JP2005221099A JP5014603B2 (ja) 2005-07-29 2005-07-29 真空処理装置

Publications (1)

Publication Number Publication Date
WO2007013363A1 true WO2007013363A1 (ja) 2007-02-01

Family

ID=37683261

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/314454 WO2007013363A1 (ja) 2005-07-29 2006-07-21 真空処理装置

Country Status (7)

Country Link
US (1) US8574366B2 (ja)
JP (1) JP5014603B2 (ja)
KR (1) KR100992937B1 (ja)
CN (1) CN101103136B (ja)
DE (1) DE112006001996B4 (ja)
TW (1) TWI401328B (ja)
WO (1) WO2007013363A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005059188B4 (de) * 2005-12-12 2007-10-25 Heinemack Gmbh Restaurantsystem
KR100927621B1 (ko) * 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
KR101252948B1 (ko) * 2008-08-05 2013-04-15 가부시키가이샤 알박 진공 처리 장치, 진공 처리 방법
KR20100075721A (ko) * 2009-10-21 2010-07-05 바코스 주식회사 도장 공정과 연계 가능한 인라인 진공증착 시스템 및 이를 이용한 증착 방법
CN102234784A (zh) * 2010-04-29 2011-11-09 鸿富锦精密工业(深圳)有限公司 镀膜***
DE102013102674B4 (de) 2013-03-15 2015-02-05 Heinemack Gmbh Restaurantsystem
US10233515B1 (en) 2015-08-14 2019-03-19 Southwire Company, Llc Metal treatment station for use with ultrasonic degassing system
JP6255544B2 (ja) * 2015-12-17 2017-12-27 株式会社アルバック 真空処理装置
JP6336231B1 (ja) 2016-11-02 2018-06-06 株式会社アルバック 真空処理装置
CN111647870A (zh) * 2016-11-04 2020-09-11 株式会社爱发科 成膜装置
KR102251016B1 (ko) * 2017-05-31 2021-05-12 가부시키가이샤 아루박 성막 장치 및 성막 방법
JP6442648B1 (ja) * 2017-06-14 2018-12-19 株式会社アルバック 真空処理装置
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US11869791B2 (en) 2019-01-08 2024-01-09 Ulvac, Inc. Vacuum processing apparatus
DE112019005363T5 (de) 2019-01-08 2021-07-15 Ulvac, Inc. Vakuumbearbeitungsvorrichtung
CN111575672B (zh) * 2020-06-05 2022-09-23 浙江晶驰光电科技有限公司 一种真空溅射镀膜机及其吸灰方法
CN112342520A (zh) * 2020-10-30 2021-02-09 湘潭宏大真空技术股份有限公司 便于工件流转的镀膜机

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06340968A (ja) * 1993-03-15 1994-12-13 Kobe Steel Ltd アークイオンプレーティング装置及びアークイオンプレーティングシステム
JPH0896358A (ja) * 1994-09-22 1996-04-12 Mitsubishi Chem Corp 磁気記録媒体の製造方法
JP2002176090A (ja) * 2000-12-07 2002-06-21 Anelva Corp インライン式基板処理装置
JP2002288888A (ja) * 2001-03-26 2002-10-04 Anelva Corp 基板搬送装置及びそれを用いた基板処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994021839A1 (en) * 1993-03-15 1994-09-29 Kabushiki Kaisha Kobeseikosho Apparatus and system for arc ion plating
JPH07316814A (ja) 1994-05-27 1995-12-05 Sumitomo Heavy Ind Ltd 薄膜処理設備
JP3909888B2 (ja) 1996-04-17 2007-04-25 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
AU2691800A (en) * 1999-02-26 2000-09-14 Nikon Corporation Exposure system, lithography system and conveying method, and device production method and device
TW552306B (en) * 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP4550959B2 (ja) 1999-11-24 2010-09-22 キヤノンアネルバ株式会社 薄膜作成装置
JP2002035572A (ja) 2000-05-18 2002-02-05 Ulvac Japan Ltd 真空処理装置と多室型真空処理装置
JP5021112B2 (ja) 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
JP4850372B2 (ja) * 2001-09-28 2012-01-11 キヤノンアネルバ株式会社 基板処理装置
SG149680A1 (en) * 2001-12-12 2009-02-27 Semiconductor Energy Lab Film formation apparatus and film formation method and cleaning method
US8545159B2 (en) * 2003-10-01 2013-10-01 Jusung Engineering Co., Ltd. Apparatus having conveyor and method of transferring substrate using the same
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
US7918940B2 (en) * 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06340968A (ja) * 1993-03-15 1994-12-13 Kobe Steel Ltd アークイオンプレーティング装置及びアークイオンプレーティングシステム
JPH0896358A (ja) * 1994-09-22 1996-04-12 Mitsubishi Chem Corp 磁気記録媒体の製造方法
JP2002176090A (ja) * 2000-12-07 2002-06-21 Anelva Corp インライン式基板処理装置
JP2002288888A (ja) * 2001-03-26 2002-10-04 Anelva Corp 基板搬送装置及びそれを用いた基板処理装置

Also Published As

Publication number Publication date
CN101103136B (zh) 2011-11-23
JP2007031821A (ja) 2007-02-08
US8574366B2 (en) 2013-11-05
KR20070099579A (ko) 2007-10-09
TWI401328B (zh) 2013-07-11
DE112006001996B4 (de) 2017-03-02
CN101103136A (zh) 2008-01-09
DE112006001996T5 (de) 2008-06-05
US20100143079A1 (en) 2010-06-10
JP5014603B2 (ja) 2012-08-29
TW200710239A (en) 2007-03-16
KR100992937B1 (ko) 2010-11-08

Similar Documents

Publication Publication Date Title
JP5014603B2 (ja) 真空処理装置
US20230395402A1 (en) Chamber for degassing substrates
EP1098353A2 (en) Substrate processing system
TWI232242B (en) Substrate processing apparatus and processing method
JP3909888B2 (ja) トレイ搬送式インライン成膜装置
US6638860B2 (en) Method and apparatus for processing substrates and method for manufacturing a semiconductor device
KR20070063930A (ko) 프로세스 장치
JP2000150618A (ja) 真空処理システム
JP5583580B2 (ja) 真空処理装置
JP2002158273A (ja) 真空処理装置
TWI471966B (zh) 基板處理系統及基板處理方法
JP4452029B2 (ja) 酸化マグネシウム被膜の形成方法及び大気リターン型のインライン式真空蒸着装置
JPS63109174A (ja) 枚葉式cvd装置
JPH05295551A (ja) インライン式プラズマcvd装置
JP2008285698A (ja) 成膜装置
TWI703637B (zh) 熱處理腔室、包括該腔室之設備、工件處理系統及製造熱處理工件的方法
JP6336146B2 (ja) インライン式成膜装置、および、成膜方法
JP3756001B2 (ja) 基板処理装置
WO2018171908A1 (en) Apparatus for loading a substrate in a vacuum processing system, system for processing a substrate, and method for loading a substrate
KR20210104920A (ko) 기판 프로세싱 시스템, 진공 프로세싱 시스템을 위한 기판 챔버, 및 기판을 냉각하는 방법
JP2004106993A (ja) 真空処理装置
JP2004106992A (ja) 真空処理装置
JPH0410618A (ja) プラズマcvdによる半導体製造装置
JPH09148256A (ja) 半導体製造方法および装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077015049

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200680002130.2

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1120060019961

Country of ref document: DE

RET De translation (de og part 6b)

Ref document number: 112006001996

Country of ref document: DE

Date of ref document: 20080605

Kind code of ref document: P

122 Ep: pct application non-entry in european phase

Ref document number: 06768332

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 11993783

Country of ref document: US

REG Reference to national code

Ref country code: DE

Ref legal event code: 8607