TWI712704B - 原子層沉積設備 - Google Patents

原子層沉積設備 Download PDF

Info

Publication number
TWI712704B
TWI712704B TW105108108A TW105108108A TWI712704B TW I712704 B TWI712704 B TW I712704B TW 105108108 A TW105108108 A TW 105108108A TW 105108108 A TW105108108 A TW 105108108A TW I712704 B TWI712704 B TW I712704B
Authority
TW
Taiwan
Prior art keywords
gas
zone
flushing
gas injection
precursor gas
Prior art date
Application number
TW105108108A
Other languages
English (en)
Other versions
TW201641736A (zh
Inventor
克里斯G M 德萊德
路錫恩C 傑迪拉
柏特 強布洛德
傑倫A 史梅堤克
Original Assignee
荷蘭商Asm智慧財產控股私人有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm智慧財產控股私人有限公司 filed Critical 荷蘭商Asm智慧財產控股私人有限公司
Publication of TW201641736A publication Critical patent/TW201641736A/zh
Application granted granted Critical
Publication of TWI712704B publication Critical patent/TWI712704B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/16Sealings between relatively-moving surfaces
    • F16J15/40Sealings between relatively-moving surfaces by means of fluid
    • F16J15/43Sealings between relatively-moving surfaces by means of fluid kept in sealing position by magnetic force
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種原子層沉積設備,其包括可旋轉地圍繞一中心沉積頭軸線安裝之一沉積頭,且包括具有用於承載基體之一上表面之一基座。下表面包含多個處理區段。各處理區段包括一沖洗氣體注入分區、一第一前驅物氣體注入分區、一氣體排出分區、一沖洗氣體注入分區、一第二前驅物氣體注入分區、及一氣體排出分區。各分區自該沉積頭之該下表面之一徑向朝內部分,徑向延伸至該下表面之一徑向朝外部分。該下表面與該上表面之間的距離、該沉積頭之旋轉速度、及沖洗氣流之流動速率及壓力的組合經選擇,使得實質上防止第一前驅物氣體與第二前驅物氣體混合。

Description

原子層沉積設備
本發明係關於一種原子層沉積設備,且更具體言之,係關於一種具有一旋轉沉積頭之原子層沉積設備。
原子層沉積(或ALD)在此項技術中已知例如用於處理半導體基體。先前技術中之多數原子層沉積設備經組配用於依序或時間劃分的處理。在依序處理中,一或多個基體經***於原子層沉積設備之處理腔室中。接著在依序及交替暴露步驟中,將基體循環地暴露於兩種或兩種以上不同前驅物氣體。於本文中,在前驅物氣體之情形下將可理解的是,所有製程氣體化學性涉及薄膜沉積製程且不包括沖洗氣體、載氣等。各暴露步驟包含將基體對一種前驅物氣體暴露,且將前驅物氣體化學吸附至基體表面上。在暴露步驟之間,使用沖洗氣體沖洗處理腔室,以自處理腔室汲取所有前驅物氣體。依序ALD製程提供相對高品質薄膜。然而,依序原子層沉積設備之缺點係歸因於重複沖洗反應空間會使處理一或多個基體需要相對長的處理時間。
US 6,821,563揭示一種原子層沉積設備,其包含 圍繞具有數個徑向輪輻之輪件的一圓形處理腔室,各徑向輪輻在其外末端具有一基體載體。各基體載體經組配來在一面向上之基體支撐表面上承載基體。具有該等多個基體載體之輪件可圍繞基體載體軸線旋轉。習知系統進一步包括一模組,該模組包括經組配用於將氣體注入至處理腔室內之多個氣體注入埠,及經組配來自處理腔室及分割區除去氣體之多個氣體排出埠。該等多個氣體注入埠及該等多個氣體排出埠經設置成在相對於輪軸線之一切線方向上檢視時,依序為排氣口、第一前驅物氣體注入口、排氣口、沖洗氣體注入口、排氣口、第二前驅物氣體注入口、排氣口、及沖洗氣體注入口。藉由輪件之旋轉,基體載體在模組下移動且經過氣體注入埠及排出埠,使得基體連續地暴露於自氣體注入埠注入至處理腔室內之氣體。藉由設置於氣體注入埠之間的排出埠,將氣體輸送出處理腔室。藉由此種配置,可執行原子層沉積製程,藉此將連續原子層沉積於基體上。
從US 6,821,563已知的設備之缺點在於,具有基體載體的輪件之旋轉誘發基體載體上的基體中之振動。此振動可導致基體上的不均勻沉積,從而導致較低品質。
具有基體載體的旋轉基體輪件之另一缺點在於,當必須將新基體裝載於輪件之基體載體上時,必須使基體載體之旋轉減速及停止,且隨後必須再次加速。自能效觀點看來,使具有基體載體的相當重之輪件加速及減速係不利的。另外,減速及加速係為耗時,因而降低裝置之 生產能力。另一缺點為設備之直徑相對於基體直徑為大。
習知裝置之又一缺點在於,基體載體之旋轉速度僅在一有限範圍內可變。當旋轉速度過高時,基體將借助離心力向外移動。為了防止此徑向朝外移動,US 6,821,563揭示在相對於基體載體之旋轉軸稍微徑向朝內成角度的載體上配置支撐表面,致使在旋轉時,基體可經由離心力與支撐表面緊緊地相抵而按壓,且藉由增大之摩擦力防止基體向外移動。然而,當以例如1rpm之速度旋轉時,將需要相當高的傾斜角,以便提供足夠摩擦力以使基體穩定。此外,此配置使將基體置放於基體支撐表面上更困難,且基體載體表面之傾斜與旋轉速度之有限範圍有關。最後,當在氣體注入/排出模組下之傾斜定向上旋轉平基板時,基體與模組之間的間隙將不恆定。鑒於此,習知系統之使用之靈活性受到限制。
因此,本發明之一目標為提供一種減輕先前技術裝置之缺點的原子層沉積設備。
為此,本發明提供一種原子層沉積設備,其包含:一基座,其具有組配來支撐多個基體之一上表面;安裝於該基座上方的一沉積頭,其具有與該基座之該上表面相對立設置的一下表面,其中該上表面與該下表面之間的空間為用以容納待處理之基體的一處理空間,其中該沉積頭之該下表面包括:多個前驅物氣體注入分區,其中各前驅物氣體注 入分區包含組配來將前驅物氣體注入至該處理空間內之多個前驅物氣體注入開口;多個氣體排出分區,其中各氣體排出分區包含組配來自該處理空間排出氣體之多個氣體排出開口;多個沖洗氣體注入分區,其中各沖洗氣體注入分區包含組配來將沖洗氣體注入至該處理空間內之多個沖洗氣體注入開口;其中:該沉積頭可旋轉地圍繞相對於該上表面垂直延伸之一中心沉積頭軸線安裝;該等前驅物氣體注入分區、該等排出氣體分區、及該等沖洗氣體分區相對於該中心沉積頭軸線在一徑向方向上延伸;其中該下表面包含至少一個處理區段,當沿著相對於該中心沉積頭軸線之一切線方向檢視時,該處理區段中之各者包括一沖洗氣體注入分區、一第一前驅物氣體注入分區、一氣體排出分區、一沖洗氣體注入分區、一第二前驅物氣體注入分區、及一氣體排出分區;其中在使用中,於該沉積頭相對於該基座之旋轉期間,及於注入該第一前驅物氣體及該第二前驅物氣體及該沖洗氣體期間,該下表面與該上表面之間的距離、該沉積頭之旋轉速度、及沖洗氣流之流動速率及壓力的組合經選擇,使得實質上防止第一前驅物氣體與第二前驅物氣體混合。
根據本發明之該原子層沉積設備具有以下優勢:基座及與其一起的支撐之基體在處理期間實質上保持固定。因此,基體不受振動,且提供基體表面上之均勻沉積。此係藉由提供包含在基體上沉積連續原子層之至少一個處理區段的一旋轉沉積頭來達成。
在生產期間,在不停止相當重的沉積頭之旋轉之情況下,基體之裝載及卸載係可能的。因此,減速及加速沉積頭時不會有能量損失,其可在相對高速下旋轉沉積頭。在一實施例中,當上表面與下表面之間的距離足夠時,甚至有可能在其他基體正進行ALD製程時裝載及卸載基體。此實施例將對於生產能力極為有利。從US 6,821,563已知之裝置必須為分批處理,因為針對一個晶圓減速及加速全部輪件之將非常低效。
在附屬請求項中所主張的各種實施例,將參照圖式中展示之一些實例來進一步闡明該等實施例。該等實施例可經組合或可相互分開來應用。
1‧‧‧ALD設備
2‧‧‧(第一)前驅物氣體注入分區/第一前驅物氣體分區/分區
2'‧‧‧(第二)前驅物氣體注入分區/第二前驅物氣體分區/分區
2a、2'a‧‧‧(前驅物氣體注入分區)內部分
2b、2'b‧‧‧(前驅物氣體注入分區)外部分
3‧‧‧(前驅物)氣體注入開口
4‧‧‧(氣體)排出分區/排出氣體分區/分區
4'‧‧‧(第一)環形排氣分區
4"‧‧‧(第二)環形排氣分區
5‧‧‧氣體排出開口
6‧‧‧沖洗氣體(注入)分區/(排出)分區/氣體排出分區/沖洗(注入)分區
6'‧‧‧(第一)環形沖洗分區
6"‧‧‧(第二)環形沖洗分區
6a‧‧‧(沖洗氣體注入分區)內部分
6b‧‧‧(沖洗氣體注入分區)外部 分
7‧‧‧(沖洗)氣體注入開口
8‧‧‧分隔分區
12‧‧‧基座
12a‧‧‧上表面
14‧‧‧沉積頭
14a‧‧‧下表面
16‧‧‧處理空間
17‧‧‧(環形)狹縫
18‧‧‧沉積頭軸桿
19‧‧‧密封件
20、20'‧‧‧前驅物氣體饋通通道
21a‧‧‧(環形)前驅物氣體凹槽/凹槽/第一者
21a'‧‧‧(環形)前驅物氣體凹槽/凹槽/第二者
21b‧‧‧(環形)沖洗氣體凹槽/凹槽
22‧‧‧沖洗氣體饋通通道
23‧‧‧沉積頭軸桿套筒
24、28‧‧‧氣體排出通道
25a、25a'‧‧‧前驅物氣體饋入通道
25b‧‧‧沖洗氣體饋入通道
26‧‧‧基座軸桿
30‧‧‧軸承
32:基體支撐位置
34:處理區段
36:環形排出凹槽/環形通道/第一者/第二者
38a:排出氣體通道/徑向通道
38b:環形(排出)通道/排出氣體 通道
S:基體
A1:中心(沉積頭)軸線
A2:基座軸線
T:切線方向
圖1為根據本發明的原子層沉積設備之一實例之透視圖,其中該設備處於打開位置;圖2描繪在閉合位置的圖1之ALD設備;圖3展示沿著圖1中之線III-III之示意性橫截面;圖4展示自ALD設備之一替代實施例與圖3中展示之類似橫截面;圖5展示沉積頭軸桿之示意性垂直橫截面; 圖6展示沉積頭之第一實例之仰視圖;以及圖7展示沉積頭之第二實例之仰視圖;圖8展示沉積頭之第三實例之仰視圖;圖9a展示類似於圖7之實例的一實例沉積頭之仰視圖;圖9b展示沿著圖9a之線IX之橫截面圖;圖10a展示僅具有在第二前驅物氣體注入分區之直接上游之分開分區的沉積頭之一實例之仰視圖;圖10b展示沿著圖10a之線X之橫截面圖;圖11a展示僅具有單一第一前驅物氣體注入分區及多個第二前驅物氣體注入分區的沉積頭之一實例之仰視圖;圖11b展示沿著圖11a之線XI之橫截面圖;圖12展示在前驅物氣體注入分區處的沉積頭之部分橫截面圖,其中前驅物氣體注入通道之末段包括與沉積頭之下表面所成的一銳角;以及圖13展示在前驅物氣體注入分區處的沉積頭之部分橫截面圖,其中前驅物氣體注入通道之末段具有發散配置。
在本申請案中,類似或對應的特徵由類似或對應的參考標號表示。各種實施例之描述不限於圖中展示之實例,且在實施方式及申請專利範圍中使用之參考數字不意欲限制實施例之描述。參考數字係包含來藉由參照圖中展示之實例以闡明實施例。
圖1至圖7展示原子層沉積設備(下文中稱為ALD設備)之各種實例,其中存在包括本發明之主要態樣的各種 實施例。
一般而言,ALD設備包含一基座12,其具有經組配來支撐多個基體S之一上表面12a。沉積頭14安裝於基座12上方且具有與基座12之上表面12a相對立設置的一下表面14a。上表面12a與下表面14a之間的空間為用以容納待處理之基體S的處理空間16。沉積頭14之下表面14a包括多個前驅物氣體注入分區2、2'。各前驅物氣體注入分區2、2'包含經組配來將前驅物氣體注入至處理空間16內之多個前驅物氣體注入開口3。下表面14a另外包括多個氣體排出分區4。各氣體排出分區4包含組配來自處理空間16排出氣體之多個氣體排出開口5。另外,下表面14a包含多個沖洗氣體注入分區6。各沖洗氣體注入分區6包含經組配以將沖洗氣體注入至處理空間16內之多個沖洗氣體注入開口7。沉積頭14可旋轉地圍繞一中心沉積頭軸線A1安裝,此軸線相對於上表面12a垂直延伸,且亦相對於下表面14a垂直延伸。前驅物氣體注入分區2、2'、排出氣體分區4、及沖洗氣體分區6在相對於中心沉積頭軸線A1之徑向方向上延伸。下表面14a包含至少一個處理區段34。當沿著相對於中心沉積頭軸線A1之切線方向T檢視時,各處理區段34包括一沖洗氣體注入分區6、一第一前驅物氣體注入分區2、一氣體排出分區4、一沖洗氣體注入分區6、一第二前驅物氣體注入分區2'、及一氣體排出分區4。在使用中,於沉積頭14相對於基座12之旋轉期間及於第一及第二前驅物氣體以及沖洗氣體之注入期間,下表面與上表面之間的距離、沉積頭之旋轉 速度、與沖洗氣流之流動速率及壓力的組合經選擇,使得實質上防止第一前驅物氣體與第二前驅物氣體混合。
此ALD設備之各種實施例係可能的。
各前驅物氣體注入分區2、2'及/或沖洗氣體注入分區6可例如設置有實質上在相對於中心沉積頭軸線A1之徑向方向上延伸之一或多列氣體注入開口。此外,各氣體排出分區4可包含一或多個鄰近列之氣體排出開口,其中該等列實質上在相對於中心沉積頭軸線A1之徑向方向上且較佳地在基座上容納的基體之全部直徑上延伸。開口可例如設置於三角形或楔形分區中,或可設置於位置在切線方向上相互緊靠之徑向延伸列中。
對於不同分區,開口圖案及開口大小可為不同。例如,氣體排出開口之直徑可大於前驅物氣體開口之直徑或沖洗氣體開口之直徑以使至氣體排出的流之限制最小化。又,對於不同分區,開口圖案之切線寬度可為不同。
在其實例展示於圖6、圖7、圖8、圖9a、圖10a及圖11a中之一實施例中,沉積頭14之下表面14a可包含在自徑向延伸前驅物氣體注入分區2徑向朝內之區域中,圍繞中心軸線延伸的一第一環形沖洗分區6'、排出氣體分區4、及沖洗氣體分區6。此實施例較佳地亦包含在自徑向延伸前驅物氣體注入分區2徑向朝外之區域中,圍繞中心軸線延伸的一第二環形沖洗分區6"、排出氣體分區4、及沖洗氣體分區6。此等環形沖洗分區6'、6"防止前驅物氣體流出處理空間,及/或防止第一前驅物氣體與第二前驅物氣體混合。較 佳地,環形沖洗氣體分區設置有間隔開之沖洗氣體注入開口7之圖案,此圖案沿著環形沖洗氣體分區6'及6"之全部圓周延伸。此等環形沖洗氣體分區6'及6"之實例展示於圖6、圖7、圖8、圖9a、圖10a及圖11a中。應注意的是,此等圖式亦指出基體S之位置。然而,應注意的是,此等基體S在此處僅展示來指出其相對於沉積頭14之位置。實際上,在沉積頭14之下表面處觀看時,並看不到基體S,因為該等基體由在圖6、圖7、圖8、圖9a、圖10a及圖11a中並不可見之基座12承載。
在其實例展示於圖7中之實施例中,沉積頭14之下表面14a可包含在自徑向延伸前驅物氣體注入分區徑向朝內之區域中,圍繞中心軸線延伸的一第一環形排出分區4'、排出氣體分區4、及沖洗氣體分區6。此實施例亦可包含在自徑向延伸前驅物氣體注入分區2徑向朝外之區域中,圍繞中心軸線A1延伸的一第二環形排出分區4"、排出氣體分區4及沖洗分區6。此等環形排出分區4'、4"防止前驅物氣體流入至ALD設備之環境內。
在其實例展示於圖6、圖7、圖8、圖9a及圖10a中之一實施例中,沉積頭14可包含多個處理區段34,當在該切線方向上檢視時,該等多個處理區段連續地設置於下表面14a中。多個處理區段34提供在沉積頭14之一個旋轉內應用多個原子單層之可能性。因此,可降低沉積頭14之旋轉速度,同時仍能夠在給定時間週期內沉積大量層體。圖6至圖8之實例皆展示具有三個處理區段34之沉積頭14。
在其實例展示於圖10a及圖10b中之一實施例中,在沉積頭14之一給定半徑處,前驅物氣體注入分區2、2'與鄰近氣體排出分區4之間的切線距離可大於在該給定半徑處的沖洗氣體注入分區6與鄰近氣體排出分區4之間的切線距離。
借助於前驅物氣體注入分區2、2'與鄰近氣體排出分區4之間的增大之切線距離,沉積路徑長度因而增大。因此,注入之前驅物氣體在處理空間16中具有較長的滯留時間,此可提供提升的沉積效率。
在一實施例中,沖洗氣體注入分區6、第一前驅物氣體注入分區2、氣體排出分區4、沖洗氣體注入分區6、第二前驅物氣體注入分區2'、及氣體排出分區4連續地配置於各處理區段中,而無***任何額外氣體注入分區及氣體排出分區。
此實施例之一優勢在於,需要將減小量之前驅物氣體注入至處理空間內,以在基體上沉積後續層。此藉由在沿著相對於中心沉積頭軸線之切線方向檢視時,將沖洗氣體注入分區緊鄰前驅物氣體注入分區設置(亦即,藉由在其間不具有氣體排出分區)來達成。結果,較少的前驅物氣體自氣體排出分區中之處理腔室除去,而注入的前驅物氣體之總流量可相對於已知ALD系統減小,其中各前驅物氣體注入開口在前驅物氣體注入開口之相對側上始終具有兩個相鄰排出開口。藉由沖洗氣流來防止前驅物氣體因回擴散(亦即,與旋轉方向相反之方向上的擴散)混合。
在其實例展示於圖6至圖8、圖9a、圖10a及圖11a中之一替代性實施例中,處理區段中之各者可包括一額外氣體排出分區4,當沿著相對於中心沉積頭軸線A1之切線方向檢視時,該額外氣體排出分區可設置於沖洗氣體分區6與連續第一前驅物氣體分區2之間。替代地或另外,處理區段中之各者可包括一額外氣體排出分區4,當沿著相對於中心沉積頭軸線A1之切線方向檢視時,該額外氣體排出分區可設置於沖洗氣體分區6與連續第二前驅物氣體分區2'之間。
取決於在沉積製程中使用的前驅物氣體之特性,提供設置於沖洗氣體注入分區6與鄰近第一前驅物氣體注入分區2及/或第二前驅物氣體注入分區2'之間的一額外氣體排出分區4可為有利的。此將特別適用於廉價之前驅物氣體,且對於此,維持高流量無問題。此具有不用沖洗氣體稀釋前驅物氣體之進一步優勢。
在一實施例中,在一給定半徑處,第二前驅物氣體注入分區2'與鄰近氣體排出分區4之間的切線距離可實質上等於在該給定半徑處的沖洗氣體注入分區6與鄰近氣體排出分區4之間的切線距離。
在其實例展示於圖8中之一實施例中,ALD設備1另外可包含分隔分區8,其中各分隔分區8係藉由在相對於中心沉積頭軸線A1之徑向方向上延伸的下表面14a之一部分形成。各分隔分區8無氣體注入開口3、7及氣體排出開口5。在此實施例中,在選自由沖洗氣體、第一前驅物氣體分區2及第二前驅物氣體分區2'、及排出分區4、6組成之群組 的各相鄰對分區2、2'、4、6之間,該分隔分區8可延伸。包括分隔分區8的此實施例之一實例展示於圖8中。圖10a及圖10b亦展示具有一分隔分區的實施例之一實例。如自圖6、圖7、圖9a、圖9b、圖10a、圖10b、圖11a及圖11b之實例顯然,並非所有相鄰沖洗、前驅物及排出分區皆需要由分隔分區8分隔。
在各處理區段提供分隔分區8可提供額外防止前驅物氣體之混合。分隔分區8可增大兩個不同前驅物氣體分區2、2'之間的距離,因此提供較多時間來將前驅物氣體中含有之元素沉積至基體S之表面。此外,第一前驅物氣體注入分區2與第二前驅物氣體注入分區2'之間的切線距離可較大。因而,分隔分區8可提供防止在第一前驅物氣體分區2中供應之前驅物氣體穿越至鄰近第二前驅物氣體注入分區2'的一額外障壁,及反之。
在一實施例中,各分隔分區8可界定沉積頭14之下表面14a的分隔分區表面部分,其處於比下表面14a之限定前驅物氣體注入分區2、2'、沖洗氣體注入分區6、及氣體排出分區4之界限的其餘部分低之層面。
此實施例之優勢在於,下表面14a的向下延伸之分隔分區表面部分可提供前驅物氣體注入分區2、2'、沖洗氣體注入分區6、與氣體排出分區4之更截然不同的定界。此定界亦可建立具有面向處理空間16之一向下指引之開口的「腔室」。結果,該實施例可導致形成於沉積頭14中的前驅物氣體注入腔室、沖洗氣體注入腔室、及氣體排出腔室 之形成。由於基座12之上表面與沉積頭14之下表面14a之間的間隙在腔室處比在分隔分區8處大,所以促進前驅物氣體或沖洗氣體在腔室內之分佈。因此,氣體在由該腔室限界之分區內的濃度可實質上在分區之分部表面上恆定。另外,該等腔室可用以實質上容納注入於其中之氣體,藉此防止前驅物氣體穿越至鄰近腔室內。此外,氣體容納可減少在處理期間所需的前驅物氣體之量,因為在經由氣體排出分區4除去前,較高量之氣體會沉積於基體S之表面上。為了使在處理期間所需的前驅物氣體更進一步減少,此實施例可有利地與如本文中所揭示的提供前驅物氣體注入分區2、2'與氣體排出分區4之間的增大之距離之實施例組合。
在其實例提供於圖2中之一實施例中,下表面14a與上表面12a之間的距離可在0.3mm至7.0mm之範圍中,較佳地在0.4mm至5.0mm之範圍中,更佳地在0.5mm至2mm之範圍中。可將下表面14a與上表面12a之間的距離選擇為在此範圍中,以實質上防止前驅物氣體混合。
在此範圍內之特定距離可取決於基體S之特性來選擇,諸如厚度或材料,但亦可基於在操作期間或針對所要的薄膜性質使用之前驅物氣體之特性來選擇該特定距離。自然地,特定距離可基於以上所提到的特性之組合,或基於以上所提到的特性與任何其他相關特性之組合。較佳地,可選擇界定分隔分區8的下表面14a之下部與基體之上表面之間的距離處於以上指定的範圍之較低端中,以使沖洗氣體及前驅物氣體在其個別分區6、2、2'內之容納最佳 化。
在一實施例中,前驅物氣體注入分區、沖洗氣體注入分區、及/或氣體排出分區可實質上為楔形。楔形分區之徑向內側實質上沿著具有與沉積頭軸線A1重合之中心點且具有第一半徑的圓之一部段延伸。楔形分區之徑向外側實質上沿著具有與沉積頭軸線(A)重合之中心點且具有大於第一半徑之第二半徑的圓之一部段延伸。各楔形分區之兩個其他側在相對於沉積頭軸線A1之實質上徑向方向上自楔形分區之徑向內側延伸至徑向外側。
旋轉沉積頭14具有具下表面14a之圓形形式,其中在自中心沉積頭軸線A1檢視時,前驅物氣體注入分區2、2'及沖洗注入分區6及氣體排出分區4徑向朝外延伸。結果,連續分區之間的切線距離在中心沉積頭軸線A1附近相對小。在旋轉頭14之徑向更朝外部分,連續分區之間的切線距離可相對大。此與隨半徑增大切線速度增大相對應,且在整個半徑上處於恆定暴露時間。楔形之氣體注入分區2、2'、6及氣體排出分區4可用以提供在基體之全部表面上實質上相等的滯留時間,因此導致較均勻之層沉積。
在一實施例中,當在徑向朝外方向上自中心沉積頭軸線A1檢視時,沉積頭14之下表面14a在向上方傾斜。該傾斜使得下表面14a與上表面12a之間的間隙在較大直徑處比在較小直徑處大。
在徑向朝外方向上的增大之間隙高度可促進在切線方向上的相鄰分區之間的氣體之均勻輸送,藉此補償 隨直徑增大而增大之路徑長度。在一實施例中,下表面14a之傾斜可包括與中心沉積頭軸線A1之向上延伸部分之角度在80°與90°之間,且較佳地在85°與90°之間。
圖11a中展示又一實施例。一些前驅物氣體需要比其他者長之暴露時間以達成完整的化學吸附及/或反應。此可藉由針對需要較長曝露時間之前驅物增大前驅物氣體注入分區之大小來達成。然而,當分區變大時,比方說,寬於30度,氣流圖案不再被良好地界定,且反應產物在其經排出前濃度上可增大。在此情形下,提議將前驅物注入分區分割成多個注入分區,該等多個注入分區各注入相同前驅物氣體,且由排出分區分隔。以此方式,反應產物被快速移除,而在注入分區中之各者中,基體係暴露於新鮮前驅物氣體且良好地界定氣流圖案。較佳地,該等多個注入分區中之鄰近者之傾斜角為60度或更小,更佳地,30度或更小。圖11a之沉積頭可設置有在鄰近前驅物注入分區與排出分區之間的分隔分區。
在一實施例中,沉積頭14及基座12中之至少一者可沿著中心沉積頭軸線A1可向上及向下移動地安裝,使得上表面12a與下表面14a之間的距離可為可調整的。
可向上及向下移動以便提供上表面14a與下表面12a之間的可調整距離之沉積頭14及/或基座12,可用於容納待處理的廣泛範圍之基體S。向上及向下的可移動性亦可用於增大上表面14a與下表面12a之間的距離,以有助於基體自基座12之卸載及將基體裝載至基座12上,同時將處理期 間之距離維持在較低值。
在一實施例中,沉積頭14可包含一沉積頭軸桿18,其為沉積頭14之部分,且相對於下表面14a向上延伸且可與中心沉積頭軸線A1同軸。另外,該實施例可包括與沉積頭軸桿18同軸之一沉積頭軸桿套筒23。一環形狹縫17可存在於沉積頭軸桿18之外圓柱形表面與沉積頭軸桿套筒23之內圓柱形表面之間。在沉積頭軸桿18與沉積頭軸桿套筒23之間,可安裝至少兩個密封件19,其組配來採不透氣方式將環形狹縫17密封起來。密封件19可為鐵流體密封件。此實施例之一實例展示於圖1至圖3及圖5中。沉積頭軸桿18可設置有可至少部分平行於中心沉積頭軸線A1延伸之至少兩個前驅物氣體饋通通道20及一沖洗氣體饋通通道22。至少兩個前驅物氣體饋通通道20可各連接至至少一個前驅物氣體注入分區中之一相關聯者的多個前驅物氣體注入開口3。氣體沖洗饋通通道22可連接至多個沖洗氣體注入開口7。
沉積頭軸桿18可用以將沉積頭安裝在軸承30中且可與驅動構件耦接以旋轉沉積頭14。沉積頭軸桿18可在沉積頭軸桿套筒23內旋轉。此外,沉積頭軸桿18可用以使用例如其中一實例展示於圖5中之至少兩個前驅物氣體饋通通道20及一沖洗氣體饋通通道22,將前驅物及沖洗氣體饋入至沉積頭14。
沉積頭軸桿18與沉積頭套筒23之間的至少兩個密封件19提供環形狹縫之不透氣密封,同時仍允許旋轉沉積頭14。經由環形狹縫17,氣體饋入及氣體排出至旋轉沉 積頭14之可靠連接為可行的。
此實施例之沉積頭軸桿18可包括至少部分平行於中心沉積頭軸線A1延伸之至少兩個前驅物氣體饋通通道20及一沖洗氣體饋通通道22。該等至少兩個前驅物氣體饋通通道20可各連接至該等至少一個前驅物氣體注入分區中之一相關聯者的該等多個前驅物氣體注入開口3。氣體沖洗饋通通道22可連接至該等多個沖洗氣體注入開口7。在此實施例中,沉積頭軸桿18之由環形狹縫17限界之一部分的外圓柱形表面可包含至少兩個環形前驅物氣體凹槽21a、21a',其中至少兩個前驅物氣體饋通通道20、20'中之一相關聯者的上部端接。另外,沉積頭軸桿18之該外圓柱形表面可包含沖洗氣體饋通通道22之上部端接且設置於至少兩個前驅物氣體凹槽21a之間的一環形沖洗氣體凹槽21b。借助於此結構,在操作期間,亦即,在沉積頭14之旋轉期間,可連續地將前驅物氣體及沖洗氣體供應至前驅物氣體饋通通道20、20'及沖洗氣體饋通通道22。
沉積頭軸桿18之由環形狹縫17限界之一部分的外圓柱形表面可包含該等至少兩個前驅物氣體饋通通道20、20'中之一相關聯者的上部端接之至少兩個前驅物氣體凹槽21a、21a'。另外,該圓柱形外表面可包括沖洗氣體饋通通道22之上部端接且可設置於兩個前驅物氣體凹槽21a、21a'之間的一沖洗氣體凹槽21b。沉積頭軸桿套筒23可包含至少兩個前驅物氣體饋入通道25a、25a',其設置於與至少兩個前驅物氣體凹槽21a、21a'中之一相關聯者相同 的水平層級處,且始於該相關聯者中,致使在操作期間,可將至少兩種前驅物氣體供應至該等至少兩個前驅物氣體饋通通道20、20'中之相關聯者。另外,沉積頭軸桿套筒23可包括一沖洗氣體饋入通道25b,其設置於與沖洗氣體凹槽21b相同的水平層級處,且始於該沖洗氣體凹槽中,致使在操作期間,可將沖洗氣體供應至沖洗氣體饋通通道22。在此實施例中,藉由供應至設置於兩個前驅物氣體凹槽21a、21a'之間的沖洗氣體凹槽21b之沖洗氣體,兩種前驅物氣體被分開亦處於狹縫17內。因此,可防止甚至在狹縫17內兩種前驅物氣體之不當混合。
其實例展示於圖5中之下一個實施例,係針對進一步降低狹縫17內的前驅物氣體之混合之風險。為此,沉積頭軸桿套筒23或沉積頭軸桿18可包括至少兩個環形排出凹槽36、36,其中之第一者36可設置於沖洗氣體凹槽21b與至少兩個前驅物氣體凹槽21a中之第一者21a之間。至少兩個排出凹槽中之第二者36可設置於沖洗氣體凹槽21b與至少兩個前驅物氣體凹槽中之第二者21a'之間。沉積頭軸桿套筒23包括始於環形排出凹槽36中之排出氣體通道38a、38b。在圖5中展示之實例中,沉積頭軸桿套筒23中之排出氣體通道38a、38b包括導致環形通道38b之一徑向通道38a。套筒23之環形排出通道38b面向沉積頭軸桿18中之環形通道36。類似地,套筒23中之前驅物氣體饋入通道25a、25a'及沖洗氣體饋入通道25b包括一徑向部分及一環形部分,該環形部分面向分別在軸桿18中之凹槽21a、21a'及21b。
在一實施例中,沉積頭軸桿18可設置有可至少部分平行於中心沉積頭軸線A1延伸之至少一個氣體排出通道24。排出通道24可連接至該等多個氣體排出開口5。氣體自該等多個氣體排出開口5之排出可由氣體排出通道24提供。
在其實例展示於圖4中之一替代性實施例中,基座12可包含一基座軸桿26,其可相對於上表面12a向下延伸且可與基座軸線A2同軸。基座軸桿26及沉積頭軸桿18之下部可設置有可至少部分平行於基座軸線A2延伸之一氣體排出通道28。氣體排出通道28可與該等多個氣體排出開口5流體連接。
在其實例展示於圖13中之一實施例中,該等多個前驅物氣體注入分區2、2'可設置有前驅物氣體注入通道,該等前驅物氣體注入通道具有形成下表面14a中之前驅物氣體注入開口3之末端部分。該等多個沖洗氣體注入分區6可設置有沖洗氣體注入通道,該等沖洗氣體注入通道具有形成下表面14a中之沖洗氣體注入開口7之末端部分。當在該通道內之一流動方向上檢視時,各前驅物氣體注入通道及/或各沖洗氣體注入通道之末端部分之橫截面尺寸可增大,使得末端部分可發散。
此等發散末端部分減少基體之表面上的凹痕或「圓孔測標」之形成。發散末端部分可增加注入之氣體的散佈,且因而減小注入之氣體施加於基體S之表面上的力。因此,可減少在基體S之表面上的沉積層體中的圓孔測標之形成。
在其實例展示於圖12中之一實施例中,該等多個前驅物氣體注入分區2、2'可設置有前驅物氣體注入通道,該等前驅物氣體注入通道可具有形成下表面14a中之前驅物氣體注入開口3之末端部分。前驅物氣體注入通道之末端部分可包括與下表面14a所成之一銳角。該等多個沖洗氣體注入分區6可設置有沖洗氣體注入通道,該等沖洗氣體注入通道可具有可形成下表面14a中之沖洗氣體注入開口7之末端部分。又,沖洗氣體注入通道之末端部分可包括與下表面14a所成之一銳角。
當銳角使得在沉積頭14之旋轉方向上引導沖洗氣體注入通道及/或前驅物氣體注入通道之末端部分時,引導之流出可促進在處理空間16中存在的在庫頁特流(Couette flow)之方向上的流動。庫頁特流為由導致氣體之拖曳的沉積頭之旋轉引起之氣流。當在與下表面14a所成之銳角下注入氣體,而角度為對準旋轉之方向引導時,可減小庫頁特流之量值及效應。此外,實施例亦可減少在基體S上的圓孔測標之形成,因為注入之氣體並未經垂直於基體S之表面引導,但包括與該表面14a所成之一角度。
在一實施例中,基座12可圍繞可與中心沉積頭軸線A1共線之基座軸線旋轉。基座12可包括用於使基座12在後續轉位位置之間圍繞基座軸線A2轉位之一基座驅動件。
在一實施例中,上表面14可包括有限數目的基體支撐位置32,其中在基座12之各轉位位置中,該基體S位置中之至少一者可由一基體裝載總成接取。
倘若在處理期間上表面14a與下表面12a之間的距離足夠允許基體之裝載及卸載,則提供可由基體裝載總成接取之至少一個轉位(indexing)位置可允許基體S之半連續自動化處理。該設備可自動在基座12上裝載基體S,且隨後將基座12轉位至下一個轉位位置以使新裝載之基體S經受由沉積頭14進行之沉積。結果,可獲得改良之生產能力,因為沉積可為對於裝載及卸載基體不中斷之連續製程。在一替代性實施例中,基座與沉積頭之間的間距可能需要相對於處理期間之間距而增大,以有助於基體之裝載及卸載。前驅物氣體之流動可被中斷。然而,不需要停止沉積頭之旋轉。沉積頭可繼續以與處理期間相同之速度或以較低速度旋轉。
在一實施例中,用於基座驅動件之控制器經組配來在沉積期間使基座12保持固定。因為基座12在沉積期間為固定,且僅在轉位至下一個位置時才旋轉,所以使所沉積之層體上的不規則部或其他缺陷之形成的機會最小化。
在一實施例中,處理區段可包含用於三種不同前驅物氣體之注入的三個不同前驅物氣體注入分區,且在處理期間,基體之部分依序經受三種不同前驅物氣體。
在一實施例中,前驅物氣體注入分區中之一或多者可設置有用於在前驅物氣體在該分區中之流動期間產生放電之電極。
在一實施例中,原子層沉積設備可包含連接至第一前驅物氣體注入分區2之一第一前驅物氣體源、連接至第 二前驅物氣體注入分區2'之一第二前驅物氣體源、連接至沖洗氣體注入分區6之一沖洗氣體源、及連接至氣體排出分區4之一真空泵。
氣體源及真空泵可分別藉由氣體饋通通道及氣體排出通道連接至該等多個氣體注入開口3、7及該等多個氣體排出開口5。
在一實施例中,沖洗氣體分區具有一沖洗氣體注入分區內部分(6a)及自沖洗氣體注入分區內部分(6a)徑向朝外設置之一沖洗氣體注入分區外部分(6b)。內部分6a可連接至內部沖洗氣體源且沖洗氣體注入分區外部分6b可連接至外部沖洗氣體源,針對流動速率及/或壓力,外部沖洗氣體源可獨立於內部沖洗氣體源控制。
此實施例對於在沖洗氣體分區6中更有效率地供應沖洗氣體可為有益的,且允許使該分區上之流量平衡。
替代地或另外,第一該第二前驅物氣體分區中之至少一者可具有一前驅物氣體注入分區內部分2a、2'a,及自前驅物氣體注入分區內部分徑向朝外設置之一前驅物氣體注入分區外部分2b、2'b。在各前驅物氣體注入分區中,具有一內部分(2a、2'a)及一外部分(2b、2'b),該內部分(2a、2'a)可與內前驅物氣體源連接,且外部分(2b、2'b)可與外前驅物氣體源連接。內前驅物氣體源與外前驅物氣體源可供應相同類型之前驅物氣體,且針對流動速率及/或壓力可獨立控制。
此實施例可提供以下優勢:可較好地控制在前驅 物氣體分區內的前驅物氣體之供應,使得可將在徑向朝內區域處的層之形成控制為實質上類似於在徑向朝外區域處的層之形成。
根據本發明之一態樣,可提供根據本發明的原子層沉積設備與各可具有相等厚度之多個基體的總成。沉積頭14之下表面14a與設置於基座12上的各基體之上表面之間的距離可在0.3mm至7.0mm之範圍,較佳地在0.4mm至5.0mm之範圍,且更佳地在0.5mm至2mm之範圍中。
尤其當距離處於所提範圍之下端中時,使兩種不同前驅物氣體在處理空間16內混合之機會最小化。
雖然以上已描述特定實施例,但應瞭解,本文中關於沉積頭描述之所有特徵,特別是那些關於前驅物氣體及沖洗氣體注入分區,排出分區及分隔分區亦可有益地應用於僅具有一可旋轉之基座及一不可旋轉之沉積頭的ALD設備。另外,應瞭解,可以與所描述不同的其他方式來實踐本發明。上方描述意欲為說明性,而非具限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離以下闡述之申請專利範圍之範疇的情況下對如前述內容中描述之本發明進行修改。各種實施例可按組合應用或可相互獨立地應用。在以上實施方式中使用之參考數字並不意欲將實施例之描述限於圖中展示之實例。該等圖式僅表示實例,且可以與在圖式之實例中展示之特定方式不同的方式來體現實施例。
2‧‧‧(第一)前驅物氣體注入分區/第一前驅物氣體分區/分區
2'‧‧‧(第二)前驅物氣體注入分區/第二前驅物氣體分區/分區
2a‧‧‧(前驅物氣體注入分區)內部分
2b‧‧‧(前驅物氣體注入分區)外部分
3‧‧‧(前驅物)氣體注入開口
4‧‧‧(氣體)排出分區
5‧‧‧氣體排出開口
6‧‧‧沖洗氣體(注入)分區/(排出)分區/氣體排出分區/沖洗(注入)分區
6'‧‧‧(第一)環形沖洗分區
6"‧‧‧(第二)環形沖洗分區
6a‧‧‧(沖洗氣體注入分區)內部分
6b‧‧‧(沖洗氣體注入分區)外部分
7‧‧‧(沖洗)氣體注入開口
14‧‧‧沉積頭
34‧‧‧處理區段
S‧‧‧基體
T‧‧‧切線方向

Claims (29)

  1. 一種原子層沉積設備,其包含:一基座,其具有組配來支撐多個基體之一上表面;安裝於該基座上方的一沉積頭,其具有與該基座之該上表面相對立設置之一下表面,其中該上表面與該下表面之間的空間為用以容納待處理之基體的一處理空間,其中該下表面包括:多個前驅物氣體注入分區,其中各前驅物氣體注入分區包含組配來將前驅物氣體注入至該處理空間內之多個前驅物氣體注入開口;多個氣體排出分區,其中各氣體排出分區包含組配來自該處理空間排出氣體之多個氣體排出開口;及多個沖洗氣體注入分區,其中各沖洗氣體注入分區包含組配來將沖洗氣體注入至該處理空間內之多個沖洗氣體注入開口;其中:該沉積頭可旋轉地圍繞相對於該上表面垂直延伸之一中心沉積頭軸線安裝;該等前驅物氣體注入分區、該等排出氣體分區、及該等沖洗氣體分區相對於該中心沉積頭軸線在一徑向方向上延伸;其中該下表面包含至少一個處理區段,當沿著相對 於該中心沉積頭軸線之一切線方向檢視時,各處理區段包括一沖洗氣體注入分區、一第一前驅物氣體注入分區、一氣體排出分區、一沖洗氣體注入分區、一第二前驅物氣體注入分區、及一氣體排出分區;及其中在使用中,於該沉積頭相對於該基座之旋轉期間,及於注入該第一前驅物氣體及該第二前驅物氣體與該沖洗氣體期間,該下表面與該上表面之間的距離、該沉積頭之旋轉速度、及沖洗氣流之流動速率及壓力的組合經選擇成實質上防止該第一前驅物氣體與該第二前驅物氣體混合;其中該下表面與該上表面之間的距離在0.3mm至7.0mm之範圍中。
  2. 如請求項1之原子層沉積設備,其中該沉積頭之該下表面包含:一第一環形沖洗分區,其在自徑向延伸之該等前驅物氣體注入分區、該等排出氣體分區、及該等沖洗氣體分區徑向朝內之一區域中,圍繞該中心軸線延伸;以及一第二環形沖洗分區,其在自徑向延伸之該等前驅物氣體注入分區、該等排出氣體分區、及該等沖洗氣體分區徑向朝外之一區域中,圍繞該中心軸線延伸。
  3. 如請求項1之原子層沉積設備,其中該沉積頭包含多個處理區段,該等多個處理區段在於該切線方向上檢視時係連續地設置於該下表面中。
  4. 如請求項1至3中任一項之原子層沉積設備,其中在一給 定半徑處,一前驅物氣體注入分區與一鄰近氣體排出分區之間的切線距離係大於在該給定半徑處的一沖洗氣體注入分區與一鄰近氣體排出分區之間的切線距離。
  5. 如請求項1至3中任一項之原子層沉積設備,其中該沖洗氣體注入分區、該第一前驅物氣體注入分區、該氣體排出分區、該沖洗氣體注入分區、該第二前驅物氣體注入分區、及一氣體排出分區係連續地配置於各處理區段中,而不***有任何額外氣體注入分區及氣體排出分區。
  6. 如請求項1至3中任一項之原子層沉積設備,其中該等處理區段中之各者包括:一額外氣體排出分區,當沿著相對於該中心沉積頭軸線之一切線方向檢視時,該額外氣體排出分區係設置於一沖洗氣體分區與一連續的第一前驅物氣體分區之間;及/或一額外氣體排出分區,當沿著相對於該中心沉積頭軸線之一切線方向檢視時,該額外氣體排出分區係設置於一沖洗氣體分區與一連續的第二前驅物氣體分區之間。
  7. 如請求項6之原子層沉積設備,其中在一給定半徑處,該第二前驅物氣體注入分區與一鄰近氣體排出分區之間的一切線距離實質上等於在該給定半徑處的一沖洗氣體注入分區與一鄰近氣體排出分區之間的切線距離。
  8. 如請求項1至3中任一項之原子層沉積設備,其另外包含 分隔分區,其中各分隔分區係由該下表面中相對於該中心沉積頭軸線之一徑向方向上延伸的一部分形成,其中各分隔分區無氣體注入開口及氣體排出開口,其中該分隔分區在選自由該沖洗氣體分區、該第一前驅物氣體分區及該第二前驅物氣體分區、及該排出分區組成之群組中的各相鄰的一對分區之間延伸。
  9. 如請求項8之原子層沉積設備,其中各分隔分區界定該沉積頭之該下表面的一分隔分區表面部分,該分隔分區表面部分處於比該下表面中限定該等前驅物氣體注入分區、該等沖洗氣體注入分區、及該等氣體排出分區之界限的部分還低之一層面處。
  10. 如請求項1至3中任一項之原子層沉積設備,其中該下表面與該上表面之間的距離在0.4mm至5.0mm之範圍中。
  11. 如請求項1至3中任一項之原子層沉積設備,其中該等前驅物氣體注入分區、該等沖洗氣體注入分區、及/或該等氣體排出分區為實質上楔形,其中楔形分區之一徑向內側實質上沿著具有與該沉積頭軸線重合之一中心點且具有一第一半徑的一圓之一部段延伸,其中該楔形分區之一徑向外側實質上沿著具有與該沉積頭軸線重合之一中心點且具有大於該第一半徑之一第二半徑的一圓之一部段延伸,其中各楔形分區之兩個其他側在相對於該沉積頭軸線之一徑向方向上自該楔形分區之該徑向內側實質上延伸至該徑向外側。
  12. 如請求項1至3中任一項之原子層沉積設備,其中在於一 徑向朝外方向上自該中心沉積頭軸線檢視時,該沉積頭之該下表面在一向上方向上傾斜,使得該下表面與該上表面之間的間隙在一較大直徑處比在一較小直徑處還大。
  13. 如請求項12之原子層沉積設備,其中傾斜包括與該中心沉積頭軸線之一向上延伸部分所成的一角度介於80°與90°之間。
  14. 如請求項1至3中任一項之原子層沉積設備,其中該沉積頭及該基座中之至少一者沿著該中心沉積頭軸線可向上及向下移動地安裝,使得該上表面與該下表面之間的距離為可調整的。
  15. 如請求項1至3中任一項之原子層沉積設備,其包括:為該沉積頭之部分的一沉積頭軸桿,其相對於該下表面向上延伸,且與該中心沉積頭軸線同軸;以及與該沉積頭軸桿同軸的一沉積頭軸桿套筒,其中存在有介於該沉積頭軸桿之一外圓柱形表面與該沉積頭軸桿套筒之一內圓柱形表面之間的一環形狹縫,且其中在該沉積頭軸桿與該沉積頭軸桿套筒之間安裝至少兩個密封件,該等密封件經組配來採一不透氣方式密封該環形狹縫;其中該沉積頭軸桿包括:至少兩個前驅物氣體饋通通道及一沖洗氣體饋通通道,其至少部分平行於該中心沉積頭軸線延伸,其中該等至少兩個前驅物氣體饋通通道各連接至該至少一 個前驅物氣體注入分區中之一相關聯者的該等多個前驅物氣體注入開口,且其中該氣體沖洗饋通通道係連接至該等多個沖洗氣體注入開口,其中該沉積頭軸桿中由該環形狹縫限界的一部分之一外圓柱形表面包含:至少兩個環形前驅物氣體凹槽,該等至少兩個前驅物氣體饋通通道中之一相關聯者的一上部端接於該等至少兩個環形前驅物氣體凹槽;一環形沖洗氣體凹槽,該沖洗氣體饋通通道之一上部端接於該環形沖洗氣體凹槽,且該環形沖洗氣體凹槽係設置於該等至少兩個前驅物氣體凹槽之間;且其中該沉積頭軸桿套筒包含:至少兩個前驅物氣體饋入通道,其設置於與該等至少兩個前驅物氣體凹槽中之一相關聯者相同的水平層級處且始於該相關聯者中,致使在操作期間,可將至少兩種前驅物氣體供應至該等至少兩個前驅物氣體饋通通道中之該等相關聯者;及一沖洗氣體饋入通道,其設置於與該沖洗氣體凹槽相同的水平層級處且始於該沖洗氣體凹槽中,致使在操作期間,可將沖洗氣體供應至該沖洗氣體饋通通道。
  16. 如請求項15之原子層沉積設備,其中該沉積頭軸桿包含至少兩個環形排出凹槽,其中該等至少兩個環形排出凹槽之一第一者係設置於該沖洗氣體凹槽與該等至少兩 個前驅物氣體凹槽中之一第一者間,且該等至少兩個環形排出凹槽中之一第二者係設置於該沖洗氣體凹槽與該等至少兩個前驅物氣體凹槽中之一第二者間,且其中該沉積頭軸桿套筒包括始於排出凹槽中之排出氣體通道。
  17. 如請求項15之原子層沉積設備,其中該沉積頭軸桿設置有至少部分平行於該中心沉積頭軸線延伸之至少一個氣體排出通道,其中該氣體排出通道係連接至該等多個氣體排出開口。
  18. 如請求項15之原子層沉積設備,其中該基座包含一基座軸桿,該基座軸桿相對於該上表面向下延伸且與基座軸線同軸,其中該基座軸桿及該沉積頭軸桿之一下部設置有至少部分平行於該基座軸線延伸之一氣體排出通道,其中該氣體排出通道與該等多個氣體排出開口流體連接。
  19. 如請求項1至3中任一項之原子層沉積設備,其中該等多個前驅物氣體注入分區設置有具有形成該下表面中之該等前驅物氣體注入開口之末端部分的前驅物氣體注入通道,其中該等多個沖洗氣體注入分區設置有具有形成該下表面中之該等沖洗氣體注入開口之末端部分的沖洗氣體注入通道,其中當在各前驅物氣體注入通道及/或各沖洗氣體注入通道內之一流動方向上檢視時,該等通道之該末端部分的橫截面尺寸增大,使得該末端部分發散。
  20. 如請求項1至3中任一項之原子層沉積設備,其中該等多個前驅物氣體注入分區設置有具有形成該下表面中之該等前驅物氣體注入開口之末端部分的前驅物氣體注入通道,其中該等前驅物氣體注入通道之該等末端部分包括與該下表面所成之一銳角,且其中該等多個沖洗氣體注入分區設置有具有形成該下表面中之該等沖洗氣體注入開口之末端部分的沖洗氣體注入通道,其中該等沖洗氣體注入通道之該等末端部分包括與該下表面所成之一銳角。
  21. 如請求項1至3中任一項之原子層沉積設備,其中該基座可圍繞與該沉積頭軸線共線之一基座軸線旋轉,該基座包括用於使該基座在後續轉位位置之間圍繞該基座軸線轉位之一基座驅動件。
  22. 如請求項21之原子層沉積設備,其中該上表面包括一有限數目的基體支撐位置,其中在該基座之各轉位位置中,該等基體位置中之至少一者可由一基體裝載總成接取。
  23. 如請求項21之原子層沉積設備,其中用於該基座驅動件之一控制器經組配來在沉積期間使該基座保持固定。
  24. 如請求項1至3中任一項之原子層沉積設備,其包含:一第一前驅物氣體之一前驅物氣體源,其連接至該等第一前驅物氣體注入分區;一第二前驅物氣體之一前驅物氣體源,其連接至該等第二前驅物氣體注入分區; 一沖洗氣體源,其連接至該等沖洗氣體注入分區;以及一真空泵,其連接至該等氣體排出分區。
  25. 如請求項24之原子層沉積設備,其中該等沖洗氣體分區具有一沖洗氣體注入分區內部分,及自該沖洗氣體注入分區內部分徑向朝外設置之一沖洗氣體注入分區外部分,其中該沖洗氣體注入分區內部分係連接至一內部沖洗氣體源,且該沖洗氣體注入分區外部分係連接至一外部沖洗氣體源,該外部沖洗氣體源針對流動速率及/或壓力可獨立於該內部沖洗氣體源控制;及/或其中該第一前驅物氣體分區及該第二前驅物氣體分區中之至少一者具有一前驅物氣體注入分區內部分,及自該前驅物氣體注入分區內部分徑向朝外設置之一前驅物氣體注入分區外部分,其中各前驅物氣體注入分區具有一內部分及一外部分,該內部分與一內前驅物氣體源連接,且該外部分與一外前驅物氣體源連接,其中該內前驅物氣體源與該外前驅物氣體源供應相同類型之前驅物氣體,且針對流動速率及/或壓力可獨立地控制。
  26. 如請求項1至3中任一項之原子層沉積設備,其中該下表面與該上表面之間的距離在0.5mm至2.0mm之範圍中。
  27. 一種如請求項1至26中任一項之原子層沉積設備與多個基體的總成,其中各基體具有一相等厚度,其中該沉積頭之該下表面與設置於該基座上之各基體的一上表面 之間的一距離在0.3mm至7.0mm之範圍中。
  28. 如請求項27之總成,其中該沉積頭之該下表面與設置於該基座上之各基體的該上表面之間的該距離在0.4mm至5.0mm之範圍中。
  29. 如請求項28之總成,其中該沉積頭之該下表面與設置於該基座上之各基體的該上表面之間的該距離在0.5mm至2.0mm之範圍中。
TW105108108A 2015-03-17 2016-03-16 原子層沉積設備 TWI712704B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/660,315 2015-03-17
US14/660,315 US10954597B2 (en) 2015-03-17 2015-03-17 Atomic layer deposition apparatus

Publications (2)

Publication Number Publication Date
TW201641736A TW201641736A (zh) 2016-12-01
TWI712704B true TWI712704B (zh) 2020-12-11

Family

ID=56923626

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105108108A TWI712704B (zh) 2015-03-17 2016-03-16 原子層沉積設備

Country Status (4)

Country Link
US (1) US10954597B2 (zh)
JP (1) JP6695714B2 (zh)
KR (1) KR101930994B1 (zh)
TW (1) TWI712704B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
CN108138320B (zh) * 2015-10-19 2020-11-03 东芝三菱电机产业***株式会社 成膜装置
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10240234B2 (en) * 2017-02-22 2019-03-26 Applied Materials, Inc. Gas distribution apparatus for processing chambers
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
US11946142B2 (en) * 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
CN110408910B (zh) * 2019-08-16 2020-08-28 中国科学院上海微***与信息技术研究所 高通量气相沉积设备及气相沉积方法
KR20220041218A (ko) * 2019-09-10 2022-03-31 어플라이드 머티어리얼스, 인코포레이티드 증기 전달 방법들 및 장치
WO2021108656A1 (en) * 2019-11-26 2021-06-03 Carpe Diem Technologies, Inc. Atomic layer deposition system
FI130052B (fi) * 2020-10-12 2023-01-13 Beneq Oy Atomikerroskasvatuslaitteisto

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201437426A (zh) * 2013-02-18 2014-10-01 Applied Materials Inc 用於空間分離原子層沉積的設備及製程遏制

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5950275A (ja) * 1982-09-16 1984-03-23 Rigaku Keisoku Kk 磁性流体軸封装置
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
WO1999020433A1 (en) * 1997-10-21 1999-04-29 Multilevel Metals, Inc. Gas bearing turbo pump and gas bearing feedthrough
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
JP3059381U (ja) * 1998-11-26 1999-07-09 株式会社フェローテック 磁性流体シール装置
US6239043B1 (en) * 2000-01-03 2001-05-29 United Microelectronics Corp. Method for modulating uniformity of deposited layer thickness
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6543782B1 (en) * 2001-04-11 2003-04-08 Ferrotec (Usa) Corporation Non-bursting ferrofluid seal
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6857635B1 (en) * 2001-10-18 2005-02-22 Ferrotec (Usa) Corporation Ultra high vacuum ferrofluidic seals and method of manufacture
US6736402B1 (en) * 2002-05-22 2004-05-18 Ferrotec (Usa) Corporation Ferrofluidic seal with controlled leakage to minimize effects of seal stage bursting
US6656284B1 (en) * 2002-06-28 2003-12-02 Jusung Engineering Co., Ltd. Semiconductor device manufacturing apparatus having rotatable gas injector and thin film deposition method using the same
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
TWI591710B (zh) * 2002-11-15 2017-07-11 荏原製作所股份有限公司 基板處理裝置及基板處理方法
US6899338B2 (en) * 2003-03-06 2005-05-31 Ferrotec Usa Corporation Ferrofluid seal incorporating multiple types of ferrofluid
US7063802B2 (en) * 2003-03-28 2006-06-20 Ferrotec Corporation Composition and method of making an element-modified ferrofluid
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
KR100592410B1 (ko) 2003-06-10 2006-06-22 이성철 무조정 가능한 인공위성용 안테나
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
JP4445924B2 (ja) * 2003-06-27 2010-04-07 株式会社フェローテック 動圧軸受装置及びそれを用いた回転装置
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US7338049B2 (en) * 2004-08-26 2008-03-04 Ferrotec (Usa) Corporation Self-cooling ferrfluid seal
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
KR20060067166A (ko) 2004-12-14 2006-06-19 삼성전자주식회사 전자레인지 및 그 제어방법
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR100667561B1 (ko) 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US20070169687A1 (en) * 2006-01-26 2007-07-26 Caracal, Inc. Silicon carbide formation by alternating pulses
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
KR20070099913A (ko) * 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
KR100722848B1 (ko) * 2006-07-19 2007-05-30 주식회사 아이피에스 박막증착장치
KR100818390B1 (ko) * 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
KR100960958B1 (ko) * 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
US20090187060A1 (en) 2008-01-22 2009-07-23 E-Z-Em, Inc. Method and Formulation for Neutralizing Toxic Chemicals and Materials
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN102177274B (zh) * 2008-10-08 2014-08-06 Abcd技术有限公司 汽相沉积***
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
CN101768731B (zh) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US20100230901A1 (en) * 2009-03-12 2010-09-16 Ferrotec (Uk), Ltd. Magnetic fluid rotary feedthrough with sensing and communication capability
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110290175A1 (en) * 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
EP2545197B1 (en) * 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
KR101175266B1 (ko) * 2010-04-19 2012-08-21 주성엔지니어링(주) 기판 처리장치
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
US8531195B2 (en) * 2010-08-26 2013-09-10 Ferrotec (Usa) Corporation Failure indicator seal for a rotary feedthrough
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
KR101095687B1 (ko) * 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
DE112011104446B4 (de) * 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
KR101265905B1 (ko) * 2010-12-21 2013-05-20 주식회사 케이씨텍 배기가스를 분리 배출하는 원자층 증착 장치
US8840726B2 (en) * 2011-06-08 2014-09-23 Asm Technology Singapore Pte Ltd Apparatus for thin-film deposition
KR101243742B1 (ko) * 2011-06-24 2013-03-13 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
US9190312B2 (en) * 2011-07-27 2015-11-17 Advanced Ion Beam Technology, Inc. Extremely low temperature rotary union
EP2557198A1 (en) * 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US20130273262A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Static deposition profile modulation for linear plasma source
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
KR101397162B1 (ko) * 2012-08-23 2014-05-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
US8973524B2 (en) * 2012-11-27 2015-03-10 Intermolecular, Inc. Combinatorial spin deposition
TW201435138A (zh) * 2012-12-21 2014-09-16 Applied Materials Inc 具高清洗效率的對稱氣體分配設備及方法
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US10351956B2 (en) * 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102176329B1 (ko) * 2013-08-16 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스
US9464353B2 (en) * 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
US9297073B2 (en) * 2014-04-17 2016-03-29 Applied Materials, Inc. Accurate film thickness control in gap-fill technology
CN206516610U (zh) * 2014-04-18 2017-09-22 应用材料公司 基板处理腔室
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
TWI696724B (zh) * 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
JP5800972B1 (ja) * 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム
JP5764246B1 (ja) * 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
KR20160089657A (ko) * 2015-01-20 2016-07-28 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR102625420B1 (ko) * 2016-05-27 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 반도체 웨이퍼 처리 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201437426A (zh) * 2013-02-18 2014-10-01 Applied Materials Inc 用於空間分離原子層沉積的設備及製程遏制

Also Published As

Publication number Publication date
KR20160111878A (ko) 2016-09-27
US20160273105A1 (en) 2016-09-22
US10954597B2 (en) 2021-03-23
JP2016180180A (ja) 2016-10-13
KR101930994B1 (ko) 2019-03-11
TW201641736A (zh) 2016-12-01
JP6695714B2 (ja) 2020-05-20

Similar Documents

Publication Publication Date Title
TWI712704B (zh) 原子層沉積設備
KR101564112B1 (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터에 의해 판독 가능한 기억 매체
KR101624352B1 (ko) 가스 인젝터 및 성막 장치
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
JP5458179B2 (ja) ガス噴射装置及びこれを用いた基板処理装置
KR101569944B1 (ko) 성막 장치
KR100574569B1 (ko) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
JP5195176B2 (ja) 成膜装置
KR20130020593A (ko) 성막 장치
CN102443782A (zh) 成膜装置和成膜方法
KR101886479B1 (ko) 성막 장치
KR101493250B1 (ko) 원자층 박막 증착장비
JP6599372B2 (ja) 基板上へ原子層を堆積させるための方法及び装置
KR101493254B1 (ko) 원자층 박막 증착장비
KR101396462B1 (ko) 원자층 박막 증착장치
KR101907973B1 (ko) 가스분사장치 및 이를 구비하는 기판처리장치
JP2015185750A (ja) 真空処理装置
KR102349006B1 (ko) 박막 증착 장치
KR20130035039A (ko) 가스분사장치, 및 이를 포함하는 기판 처리장치
KR102115500B1 (ko) 기판처리장치
KR20190087046A (ko) 기판처리장치
US20230374658A1 (en) An atomic layer deposition apparatus
KR20070038206A (ko) 가스 분사 장치
KR20140134880A (ko) 원자층 박막 증착장치
KR102329169B1 (ko) 박막 증착 장치