CN101665921B - 成膜装置、基板处理装置及成膜方法 - Google Patents

成膜装置、基板处理装置及成膜方法 Download PDF

Info

Publication number
CN101665921B
CN101665921B CN2009101721181A CN200910172118A CN101665921B CN 101665921 B CN101665921 B CN 101665921B CN 2009101721181 A CN2009101721181 A CN 2009101721181A CN 200910172118 A CN200910172118 A CN 200910172118A CN 101665921 B CN101665921 B CN 101665921B
Authority
CN
China
Prior art keywords
mentioned
reactant gases
universal stage
space
vacuum vessel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101721181A
Other languages
English (en)
Other versions
CN101665921A (zh
Inventor
本间学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101665921A publication Critical patent/CN101665921A/zh
Application granted granted Critical
Publication of CN101665921B publication Critical patent/CN101665921B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种成膜装置、基板处理装置及成膜方法。在真空容器内供给第1和第2反应气体来形成薄膜的成膜装置具有旋转台、用于对真空容器进行耐腐蚀保护的保护顶板、从旋转台的周缘朝向旋转中心设置的第1反应气体供给部和第2反应气体供给部以及在第1反应气体供给部和第2反应气体供给部之间设置的第1分离气体供给部。在成膜装置中形成包括第1反应气体供给部并具有第1高度的第1空间、包括第2反应气体供给部并具有第2高度的第2空间以及包括第1分离气体供给部并被设置成低于第1和第2高度的第3空间。成膜装置还具有为了对真空容器进行耐腐蚀保护而与保护顶板一起围绕旋转台、第1、第2和第3空间的真空容器保护部。

Description

成膜装置、基板处理装置及成膜方法
技术领域
本发明涉及一种成膜装置、基板处理装置及成膜方法,特别是涉及一种交替地供给至少两种原料气体来形成薄膜的成膜装置、基板处理装置、成膜方法。
背景技术
作为半导体制造工艺中的成膜方法,公知有如下工艺:在真空气氛下使第1反应气体吸附在作为基板的半导体晶圆(以下称为“晶圆”)等的表面上之后,将所供给的气体切换为第2反应气体,通过两气体的反应来形成1层或多层的原子层、分子层,通过进行多次该循环来层叠这些层,从而在基板上进行成膜。该工艺例如被称为ALD(Atomic Layer Deposition)、MLD(Molecular Layer Deposition)等,能够根据循环数来高精度地控制膜厚,并且膜质的面内均匀性也良好,是能够应对半导体器件的薄膜化的有效的方法。
作为这种成膜方法的较佳的例子,例如列举出在栅极氧化膜中使用的高电解质膜的成膜。列举一个例子,在形成氧化硅膜(SiO2膜)的情况下,作为第1反应气体(原料气体)例如使用双叔丁基氨基硅烷(以下称为“BTBAS”)气体等,作为第2反应气体(氧化气体)使用臭氧气体等。
作为实施这种成膜方法的装置,使用在真空容器的上部中央具有气体簇射头(shower head)的单片式成膜装置,研究了如下方法:从基板的中央部上方侧供给反应气体,从处理容器的底部排出未反应的反应气体以及反应副产物。不过,上述成膜方法由于通过吹扫气体来进行气体置换需要较长的时间,另外循环数例如也达到数百次,因此存在处理时间较长的问题,期望一种能够以高生产率进行处理的成膜装置、成膜方法。
从这种背景出发,已知如下那样将多个基板沿旋转方向配置在真空容器内的旋转台上来进行成膜处理的装置。
在美国专利公报7,153,542号中公开了如下的成膜装置的例子:将分离扁平的圆筒状的真空容器左右分离,以使沿半圆的轮廓形成在左侧区域和右侧区域的排气口向上排气的方式设置,并且在左侧半圆的轮廓与右侧半圆的轮廓之间、即真空容器的直径区域具有形成有分离气体的喷出孔的分离区域。在右侧半圆区域和左侧半圆区域形成有互不相同的原料气体的供给区域,通过真空容器内的旋转台旋转,工件通过右侧半圆区域、分离区域以及左侧半圆区域,并且从排气口排出两种原料气体。并且,被供给分离气体的分离区域的顶部比原料气体的供给区域低。
在日本特开2001-254181号公报中公开了具有如下结构的成膜装置的例子:在晶圆支承构件(旋转台)上沿旋转方向等距离地配置4片晶圆,而以与晶圆支承构件相面对的方式沿旋转方向等距离地配置第1反应气体喷出喷嘴和第2反应气体喷出喷嘴,并且在这些喷嘴之间配置吹扫气体喷嘴,使晶圆支承构件水平旋转。记载了如下内容:利用晶圆支承构件支承各晶圆,晶圆的表面位于晶圆支承构件的上表面的上方,并比晶圆支承构件的上表面高出相当于晶圆厚度的量。另外,各喷嘴被配置成沿晶圆支承构件的径向延伸,晶圆与喷嘴之间的距离是0.1mm以上。从晶圆支承构件的外缘与处理容器的内壁之间进行真空排气。根据这种装置,吹扫气体喷嘴的下方通过发挥所谓的气帘的作用来防止第1反应气体与第2反应气体的混合。
在日本专利3144664号公报中公开了如下结构的例子:通过分隔壁将真空容器内沿周向分割为多个处理室,并且相对于分隔壁的下端隔着狭缝地设置能够旋转的圆形载置台,在该载置台上配置多个晶圆。
在日本特开平4-287912号公报中公开了如下成膜方法的例子:将圆形的气体供给板沿周向分割为8个,每错开90度地配置AsH3气体的供给口、H2气体的供给口、TMG气体的供给口以及H2气体的供给口,并且在这些气体供给口之间设置排气口,与该气体供给板相对地使支承晶圆的基座(susceptor)旋转。
另外,在美国专利公报6,634,314号中公开了具有如下结构的成膜装置的例子:以4个垂直壁将旋转台的上方区域分隔成十字,在这样分隔得到的4个载置区域上载置晶圆,并且沿旋转方向交替地配置源气体喷射器、反应气体喷射器、吹扫气体喷射器而构成十字的喷射器单元,以使这些喷射器按顺序位于上述4个载置区域的方式水平旋转喷射器单元,并且从旋转台的周围进行真空排气。
另外,在日本特开2007-247066号公报中提出了如下装置:在实施使多个气体交替地吸附在靶(相当于晶圆)上的原子层CVD方法时,使载置晶圆的基座旋转,从基座的上方供给源气体和吹扫气体。在0023~0025段中记载了如下内容:分隔壁相对于处理室的中心呈放射状地延伸,在分隔壁的下侧设置有将反应气体或吹扫气体供给到基座的气体流出孔;以及通过使惰性气体从分隔壁的气体流出孔流出来形成气帘。关于排气,0058段开始记载,根据该记载,源气体和吹扫气体分别从排气通道30a、30b分开排出。此外,在美国专利公开公报2007-218701号和美国专利公开公报2007-218702号中也提出了与日本特开2007-247066号公报类似的技术。
可是,在使用上述8个专利文献所公开的成膜装置和成膜方法、将多个基板沿旋转方向配置在真空容器内的旋转台上而进行成膜处理的情况下,存在如下的问题。
在使用美国专利公报7,153,542号所提出的成膜装置和成膜方法的情况下,采用在分离气体的喷出孔与反应气体的供给区域之间设置朝上的排气口,且将反应气体与分离气体一起从该排气口排出的方法,因此存在如下问题:被喷出到工件上的反应气体成为向上气流而从排气口被吸入,且伴随微粒的卷起,容易引起微粒对晶圆的污染。
在使用日本特开2001-254181号公报所提出的成膜装置和成膜方法的情况下,存在如下问题:有时晶圆支承构件正在旋转,仅通过来自吹扫气体喷嘴的气帘作用无法避免气帘两侧的反应气体通过,尤其是无法避免反应气体从旋转方向上游侧扩散到气帘中的情形。并且,存在如下问题:从第1反应气体喷出喷嘴喷出的第1反应气体经由相当于旋转台的晶圆支承构件的中心部容易到达来自第2反应气体喷出喷嘴的第2反应气体扩散区域。这样存在如下问题:当第1反应气体与第2反应气体在晶圆上被混合时,反应生成物附着在晶圆表面上,从而无法进行良好的ALD(或MLD)处理。
在使用日本专利3144664号公报所提出的成膜装置和成膜方法的情况下,工艺气体从分隔壁与载置台的间隙或分隔壁与晶圆之间的间隙扩散到相邻的处理室,另外在多个处理室之间设有排气室,因此在晶圆通过该排气室时,来自上游侧和下游侧的处理室的气体在该排气室内被混合。因而,存在无法应用于ALD方式的成膜方法的问题。
在使用日本特开平4-287912号公报所提出的成膜装置和成膜方法的情况下,存在如下问题:对两种反应气体的分离没有公开任何现实的方法,不用说在基座的中心附近混合,实际上在中心附近以外两种反应气体也会经由H2气体的供给口的排列区域混合。并且还存在如下致命的问题:当将排气口设在与晶圆的通过区域相面对的面上时,由于来自基座表面的微粒的卷起等容易引起晶圆的微粒污染。
在使用了美国专利公报6,634,314号所提出的成膜装置和成膜方法的情况下,存在如下问题:在给各载置区域供给了源气体或反应气体之后,通过吹扫气体喷嘴用吹扫气体置换该载置区域的气氛需要较长的时间,而且,源气体或反应气体从1个载置区域越过垂直壁而扩散到相邻的载置区域里,两种气体在载置区域发生反应的可能性较大。
在使用了日本特开2007-247066号公报、美国特开公开公报2007-218701号或美国特开公开公报2007-218702号所提出的成膜装置和成膜方法的情况下,存在如下问题:在吹扫气体分隔室(compartment)中无法避免两侧的源气体分隔室中的源气体的相互混淆,产生反应生成物而对晶圆造成微粒污染。
并且,在使用了美国专利公报7,153,542号、日本特开2001-254181号公报、日本专利3144664号公报、日本特开平4-287912号公报以及美国专利公报6,634,314号所提出的成膜装置和成膜方法的情况下,存在如下问题:在作为第1反应气体供给包含Cl等的具有腐蚀性的反应气体来进行成膜的情况下、或在成膜后从供给第1反应气体的喷嘴供给包含Cl等的具有腐蚀性的清洁气体来进行清洁的情况下,导致真空容器的顶板等由铝材料构成的部分被腐蚀。
发明内容
本发明是鉴于上述的问题点而完成的,提供一种在按顺序将相互反应的多种反应气体供给到基板的表面上来层叠多个反应生成物的层而形成薄膜时、能够得到较高的生产率、且能够防止多种反应气体在基板上混合而进行良好的处理、且能够保护真空容器免受反应气体或清洁气体的腐蚀的成膜装置、成膜方法。
根据本发明的第1方式(aspect),提供一种成膜装置,其在真空容器内按顺序供给包括第1反应气体和第2反应气体的至少两种原料气体并且通过执行按顺序供给上述至少两种上述原料气体的供给循环来形成薄膜,该成膜装置包括:旋转台,其能够旋转地被设置在上述真空容器内,具有用于载置基板的基板载置部;保护顶板,其为了保护上述真空容器免受上述第1反应气体和上述第2反应气体的腐蚀,被设置成与上述旋转台的上表面相对;第1反应气体供给部和第2反应气体供给部,该第1反应气体供给部和第2反应气体供给部为了供给上述第1反应气体和上述第2反应气体,分别从上述旋转台的周缘的互不相同的位置朝向旋转中心地设置;第1分离气体供给部,其为了供给将上述第1反应气体和上述第2反应气体分离的第1分离气体,从上述第1反应气体供给部和上述第2反应气体供给部之间的上述旋转台的周缘的位置朝向旋转中心地设置;该成膜装置还包括真空容器保护部,其被设置成:包括上述第1反应气体供给部的上述保护顶板的下表面具有被设置在距上述旋转台的距离为第1高度的位置的第1下表面区域,在上述第1下表面区域与上述旋转台之间形成有第1空间,包括上述第2反应气体供给部的上述保护顶板的下表面具有被设置在距上述旋转台的距离为第2高度的离开上述第1下表面区域的位置的第2下表面区域,在上述第2下表面区域与上述旋转台之间形成有第2空间,包括上述第1分离气体供给部且沿着上述旋转台的旋转方向位于上述第1分离气体供给部的两侧的上述保护顶板的下表面具有被设置在距上述旋转台低于上述第1高度和上述第2高度的第3高度的位置的第3下表面区域,在上述第3下表面区域与上述旋转台之间形成有具有上述第3高度的第3空间,该第3空间用于使从上述第1分离气体供给部供给的上述第1分离气体流向上述第1空间和上述第2空间,为了保护真空容器免受上述第1反应气体和上述第2反应气体的腐蚀,上述真空容器保护部与上述保护顶板一起围绕上述旋转台、上述第1空间、上述第2空间以及上述第3空间;上述保护顶板的下表面具有中心部区域,该中心部区域在上述旋转台的旋转中心的上述基板载置部侧设有用于供给将上述第1反应气体和上述第2反应气体分离的第2分离气体的第2分离气体供给部,该成膜装置还包括排气口,用于将上述第1反应气体和上述第2反应气体与喷出到上述第3空间两侧的上述第1分离气体和从上述中心部区域喷出的上述第2分离气体一起排出。
根据本发明的第2方式(aspect),提供一种基板处理装置,其具有:第1方式所述的成膜装置;真空输送室,其气密地与上述成膜装置连接,在内部设置了基板输送部;预备真空室,其气密地与上述真空输送室连接,能够在真空气氛与大气气氛之间切换气氛。
根据本发明的第3方式(aspect),供给一种成膜方法,在由用于对真空容器进行耐腐蚀保护的真空容器保护部围绕的空间中,按顺序供给包括第1反应气体和第2反应气体的至少两种原料气体,并且通过执行按顺序供给上述至少两种上述原料气体的供给循环来将上述第1反应气体和上述第2反应气体分开地供给到基板上的同时形成薄膜,该成膜方法进行以下步骤来进行成膜:将基板载置在上述真空容器内的旋转台上;使上述旋转台旋转;从上述第1反应气体供给部将上述第1反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间的第1空间;从相对于上述第1反应气体供给部被设置在沿着上述旋转台的旋转方向的不同的位置上的第2反应气体供给部将上述第2反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间的第2空间;从被设置在上述第1反应气体供给部与上述第2反应气体供给部之间的第1分离气体供给部将上述第1分离气体供给到第3空间,该第3空间被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间,第3空间低于上述第1空间和上述第2空间;将分离上述第1反应气体和上述第2反应气体的第2分离气体供给到上述顶板的下表面的上述旋转台上侧的中心部区域;以及通过使上述基板随着上述旋转台的旋转而移动来重复上述第1反应气体向上述基板的表面的供给、上述第1反应气体的停止、上述第2反应气体的供给和上述第2反应气体的停止,与上述第1分离气体和上述第2分离气体一起排出上述第1反应气体和上述第2反应气体。
根据本发明的第4方式(aspect),提供一种能够由计算机读取的存储介质,该存储介质存储了使计算机执行如下成膜处理的程序,该成膜处理在由用于对成膜装置的真空容器进行耐腐蚀保护的真空容器保护部围绕的空间中按顺序供给包括第1反应气体和第2反应气体的至少两种原料气体,并且通过执行按顺序供给上述至少两种上述原料气体的供给循环来分开地供给上述第1反应气体和上述第2反应气体的同时形成薄膜,上述程序使上述计算机执行如下工序:使载置了上述基板的上述旋转台旋转的工序;从第1反应气体供给部将上述第1反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间的第1空间的工序;从相对于上述第1反应气体供给部被设置在沿着上述旋转台的旋转方向的不同的位置上的第2反应气体供给部将上述第2反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间的第2空间的工序;从被设置在上述第1反应气体供给部与上述第2反应气体供给部之间的第1分离气体供给部将上述第1分离气体供给到第3空间的工序,该第3空间被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间,第3空间低于上述第1空间和上述第2空间;将分离上述第1反应气体和上述第2反应气体的第2分离气体供给到上述顶板的下表面的上述旋转台上侧的中心部区域的工序;通过使上述基板随着上述旋转台的旋转而移动来重复上述第1反应气体向上述基板的表面的供给、上述第1反应气体的停止、上述第2反应气体的供给和上述第2反应气体的停止,与上述第1分离气体和上述第2分离气体一起排出上述第1反应气体和上述第2反应气体,由此形成薄膜的工序。
附图说明
图1是示意性地表示本发明的第1实施方式的成膜装置的结构的纵剖视图。
图2是示意性地表示本发明的第1实施方式的成膜装置的结构的立体图。
图3是示意性地表示本发明的第1实施方式的成膜装置的结构的横剖俯视图。
图4A和4B是用于说明本发明的第1实施方式的成膜装置的图,是表示第1~第3空间的剖视图。
图5是用于说明本发明的第1实施方式的成膜装置的图,是表示第1反应气体供给部的立体图。
图6A和6B是用于说明本发明的第1实施方式的成膜装置的图,是用于说明第3下表面部的尺寸例子的横剖视图和纵剖视图。
图7是用于说明本发明的第1实施方式的成膜装置的一部分的图,是图3中的A-A纵剖视图。
图8是用于说明在本发明的第1实施方式的成膜装置的一部分中第2分离气体、第3分离气体以及第2保护气体流动的形态的图,是图3中的B-B纵剖视图。
图9是表示本发明的第1实施方式的成膜装置的一部分的剖切立体图。
图10是示意性地表示本发明的第1实施方式的成膜装置的控制部的结构的图。
图11是用于说明使用了本发明的第1实施方式的成膜装置的成膜方法的工序的工序图。
图12是用于说明使用了本发明的第1实施方式的成膜装置的成膜方法的图,是表示第1反应气体、第2反应气体以及第1分离气体流动的形态的图。
图13是用于说明本发明的第1实施方式的第1变形例的成膜装置的图,是表示第3下表面部的保护顶板的形状的另一例的纵剖视图。
图14A、14B以及14C是用于说明本发明的第1实施方式的第2变形例的成膜装置的图,是表示第3下表面部的保护顶板的下表面的形状的另一例的纵剖视图。
图15A、15B以及15C是用于说明本发明的第1实施方式的第3变形例的成膜装置的图,是表示第1反应气体供给部的气体喷出孔的形状的另一例的仰视图。
图16A、16B、16C以及16D是用于说明本发明的第1实施方式的第3变形例的成膜装置的图,是表示第3下表面部的形状的另一例的仰视图。
图17是示意性地表示本发明的第1实施方式的第4变形例的成膜装置的结构的横剖俯视图。
图18是示意性地表示本发明的第1实施方式的第5变形例的成膜装置的结构的横剖俯视图。
图19是示意性地表示本发明的第1实施方式的第6变形例的成膜装置的结构的立体图。
图20是示意性地表示本发明的第1实施方式的第7变形例的成膜装置的结构的横剖俯视图。
图21是示意性地表示本发明的第1实施方式的第8变形例的成膜装置的结构的纵剖视图。
图22是示意性地表示本发明的第2实施方式的基板处理装置的结构的俯视图。
具体实施方式
接着,与附图一起说明用于实施本发明的最佳实施方式。
第1实施方式
参照图1~图10,说明本发明的第1实施方式的成膜装置和成膜方法。
首先,参照图1~图9说明本实施方式的成膜装置的结构。
图1是示意性地表示本实施方式的成膜装置的结构的纵剖视图。图1是图3中的B-B纵剖视图。图2是示意性地表示本实施方式的成膜装置的结构的立体图。图3是示意性地表示本实施方式的成膜装置的结构的横剖俯视图。图2和图3是真空容器1的顶板11被分离的状态下的立体图和横剖俯视图。图4A和图4B是用于说明本实施方式的成膜装置的图,是表示第1~第3空间的剖视图。图4A和图4B是沿同心圆切断并横向展开包括旋转台的旋转台的上侧的部分而表示的展开图。图5是用于说明本实施方式的成膜装置的图,是表示第1反应气体供给部的立体图。图6A和图6B是用于说明本实施方式的成膜装置的图,是用于说明第3下表面部的尺寸例子的横剖视图和纵剖视图。图7是用于说明本实施方式的成膜装置的一部分的图,是图3中的A-A纵剖视图。图8是用于说明在本实施方式的成膜装置的一部分中第2分离气体、第3分离气体以及第2保护气体的流动的形态的图,是图3中的B-B纵剖视图。图9是表示本实施方式的成膜装置的一部分的剖切立体图。图10是示意性地表示本实施方式的成膜装置的控制部的结构的图。
如图1至图3所示,本实施方式的成膜装置具有真空装置1和被收纳在真空容器1中的旋转台2、第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42、保护顶板4以及真空容器保护部49。
如图1至图3所示,真空容器1具有俯视形状为大致圆形且扁平的形状。真空容器1具有顶板11、容器主体12、O型密封圈13、底面部14。
顶板11能够从容器主体12分离。顶板11由于内部的减压状态,夹着密封构件或O型密封圈13被压靠到容器主体12侧并保持气密状态。另外,在顶板11从容器主体12被分离地情况下,通过未图示的驱动机构被抬起到上方。
接着,说明被收纳在真空容器1中的各部分中的旋转台2以及被设置在比顶板11靠近下侧的位置且比旋转台2靠近上侧的位置上的部分。即,说明旋转台2、第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42、保护顶板4、第2分离气体供给部51。
如图1所示,旋转台2被设置成在真空容器1的中心具有旋转中心。旋转台2具有壳体20、芯部21、旋转轴22、驱动部23、凹部24。
旋转台2的中心部被固定在圆筒形状的芯部21上,芯部21被固定在沿铅直方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,旋转轴22的下端被安装在使旋转轴22围绕铅直轴线旋转的驱动部23上,在本例中沿顺时针方向旋转。旋转轴22和驱动部23被收纳在上表面开口的圆筒状的壳体20中。壳体20将被设置在壳体20上表面的凸缘部分气密地安装在真空容器1的底面部14的下表面,保持壳体20的内部气氛与外部气氛之间的气密状态。
如图2和图3所示,凹部24被设置在旋转台2的表面部上,以沿着旋转方向(周向)载置多个例如5张作为基板的晶圆。凹部24具有圆形状的形状。凹部24用于对晶圆进行定位而使晶圆不会在随着旋转台2的旋转所产生的离心力的作用下飞出,相当于本发明的基板载置部。此外,为了方便,在图3中仅在1个凹部24上图示了晶圆W。
如图4A所示,凹部24被设定成凹部24的直径仅比晶圆的直径稍大例如4mm,另外其深度是与晶圆的厚度大小相同。因而,当将晶圆放入凹部24中时,晶圆的表面与旋转台2的表面(没有载置晶圆的区域)的高度一致。如果晶圆的表面与旋转台2的表面之间的高度差较大,则因该高度差部分产生压力变动,因此为了使膜厚的面内均匀性一致,需要使晶圆的表面与旋转台2的表面的高度一致。使晶圆的表面与旋转台2的表面的高度一致是指被载置在凹部24(基板载置部)上的晶圆(基板)的表面与旋转台2的表面为相同的高度,或者晶圆(基板)的表面位于低于旋转台2的表面的位置,但是根据加工精确度等,最好尽量使两面的高度差趋近于零,两面的高度差最好在5mm以内。在凹部24的底面形成有为了支承晶圆的背面来使晶圆升降而使例如稍后使用图9记述的3个升降销贯穿的通孔。
此外,基板载置部并不限于凹部,例如也可以是在旋转台2的表面沿晶圆的周向排列多个用于在晶圆的周缘进行引导的引导构件的结构,或者也可以是在旋转台2侧设置静电卡盘等卡盘机构的结构。在旋转台2侧设置卡盘机构来吸附晶圆的情况下,通过吸附来载置晶圆的区域为基板载置部。
如图2和图3所示,为了供给第1反应气体和第2反应气体,在分别与旋转台2中的凹部24的基板载置台相面对的位置上从真空容器1的周缘(旋转台2的周缘)的互不相同的位置朝向旋转中心分别设置第1反应气体供给部31、第2反应气体供给部32以及2个第1分离气体供给部41、42。第1反应气体供给部31、第2反应气体供给部32以及2个第1分离气体供给部41、42是沿长度方向隔开间隔地穿设有用于向下方侧喷出反应气体或分离气体的喷出孔的喷嘴。
第1反应气体供给部31、第2反应气体供给部32以及2个第1分离气体供给部41、42例如被安装在真空容器1的侧壁,作为其基端部的气体导入件31a、32a、41a、42a贯穿侧壁。在本实施方式中,如局部图5所示,气体导入件31a、32a、41a、42a从真空容器1的侧壁被导入,但是也可以从环状的突出部53(后述)导入。在这种情况下,可以设置在突出部53的外周面与顶板11的外表面上开口的L字型的导管,在真空容器1内将第1反应气体供给部31、第2反应气体供给部32以及2个第1分离气体供给部41、42与L字型的导管的1个开口相连接,在真空容器1的外部将气体导入件31a、32a、41a、42a与L字型的导管的另一个开口相连接。
如图4A和图4B所示,在第1反应气体供给部31和第2反应气体供给部32上沿喷嘴的长度方向隔着间隔地穿设用于向下方侧喷出反应气体的喷出孔33。在本实施方式中,例如沿着构成第1反应气体供给部31、第2反应气体供给部32的气体喷嘴的长度方向,以10mm的间隔穿设朝向正下方的例如口径为0.5mm的喷出孔。
如图4A和图4B所示,在第1分离气体供给部41、42上,沿长度方向隔开间隔地穿设用于向下方侧喷出分离气体的喷出孔40。在本实施方式中,例如沿着构成第1分离气体供给部41、42的气体喷嘴的长度方向,以10mm的间隔穿设朝向正下方的例如口径为0.5mm的喷出孔。
第1反应气体供给部31、第2反应气体供给部32与配设于真空容器1的外部的第1反应气体的气体供给源和第2反应气体的气体供给源连接,第1分离气体供给部41、42与配设于真空容器1的外部的第1分离气体的气体供给源连接。在本实施方式中,第2反应气体供给部32、第1分离气体供给部41、第1反应气体供给部31以及第1分离气体供给部42按该顺序沿顺时针方向配置。
在本实施方式中,作为第1反应气体,例如可以使用BTBAS(双叔丁基氨基硅烷)气体。另外,作为第2反应气体,例如可以使用O3(臭氧)气体。并且,作为第1分离气体,例如可以使用N2(氮气)气体。此外,第1分离气体不限于N2气体,可以使用Ar等惰性气体,但是不限于惰性气体,也可以是氢气等,只要是不对成膜处理产生影响的气体即可,关于气体的种类,并没有特别地限定。
如图1所示,保护顶板4与旋转台2相面对地设置在真空容器1的顶板11与旋转台2之间。保护顶板4用于保护真空容器1免受第1反应气体和第2反应气体的腐蚀。保护顶板4例如由石英或陶瓷构成。
如图2至图4B所示,保护顶板4的下表面具有第1下表面部(第1下表面区域)45、第2下表面部(第2下表面区域)45a和第3下表面部(第3下表面区域)44这3个区域、突出部53、旋转中心侧部5,该第1下表面部45为距旋转台2的上表面的距离为H1的面,该第2下表面部45a是距旋转台2的上表面的距离为H2的面,该第3下表面部44被形成在第1下表面部45与第2下表面部45a之间,是距旋转台的上表面的距离为H3的面,突出部53是在第1下表面部45与第2下表面部45a中与各区域的旋转中心侧邻接,该旋转中心侧部5与芯部21相对应。
第1下表面部45、第2下表面部45a以及第3下表面部44是分别包括第1反应气体供给部31、第2反应气体供给部32以及第1分离气体供给部41的保护顶板4的下表面的区域。此外,第3下表面部44被第1分离气体供给部41分成两部分。
另外,如图2至图4B所示,作为保护顶板4的下表面的第1下表面部45、第2下表面部45a以及2个第3下表面部44这4个区域分别与旋转台2之间形成第1空间P1、第2空间P2以及2个第3空间D。
如图4A和4B所示,保护顶板4的第1下表面部45是包括第1反应气体供给部31的保护顶板4的下表面的区域。如图4A和4B所示,第2下表面部45a是包括第2反应气体供给部32的保护顶板4的下表面的区域。如图4A和4B所示,第3下表面部44是包括第1分离气体供给部41、42的保护顶板4的下表面的区域。另外,从第1分离气体供给部41、42的中心轴线到具有扇形形状的第3下表面部44的旋转台2的正旋转方向和逆旋转方向的两边缘的距离被设定为相同的长度。
此时,保护顶板4的第3下表面部44在旋转台2相对于各个第1分离气体供给部41、42的旋转方向上游侧中,越是接近旋转台2的周缘的部位,宽度变得越大。这是因为通过旋转台2旋转,越是靠近旋转台2的周缘的部位,从旋转方向上游侧向第3下表面部44的气体的流动越快。在本实施方式中,将直径300mm的晶圆W作为被处理基板,第3下表面部44的周向的长度(与旋转台2同心的圆的圆弧的长度)在靠近离开旋转中心140mm的突出部53的部位上例如是146mm,在凹部24(基板载置部)的最外侧的位置上例如是502mm。此外,如图4A所示,在该最外侧的位置上,如果从分别位于左右的保护顶板4的第3下表面部44距第1分离气体供给部41(42)的两端的周向的长度L来看,长度L是246mm。
如图1和图4A所示,包括第1反应气体供给部31的保护顶板4的第1下表面部45被设置在距旋转台2的距离为第1高度H1的位置。如图1和图4A所示,包括第2反应气体供给部32的第2下表面部45a被设置在距旋转台2的距离为第2高度H2的位置。如图4A所示,包括第1分离气体供给部41的第3下表面部44被设置在距旋转台2的的距离为第3高度H3的位置。第3高度H3低于第1高度H1和第2高度H2。另外,第1高度H1和第2高度H2的大小关系并没有特别地进行限定,但是例如可以为H1=H2。因而,在本实施方式中,可以为H3<H1=H2。
即,如图4A所示,在第1分离气体供给部41的旋转方向两侧存在被设置在距旋转台2的距离为第3高度H3的位置的作为保护顶板4的下表面的第3下表面部44,在第3下表面部44的旋转方向两侧存在比第3下表面部44高的第1下表面部45和第2下表面部45a。换言之,在第1分离气体供给部41的旋转方向两侧存在第3空间D,在第3空间D的旋转方向两侧存在第1空间P1和第2空间P2。同样地,在第1空间P1的相反侧和第2空间P2的相反侧之间存在第3空间D。
在此,说明第3空间D的作用即第1空间P1的气氛与第2空间P2的气氛之间的分离作用。
第3下表面部44用于通过与第1分离气体供给部41组合来阻止第1反应气体和第2反应气体进入到第3空间D,阻止第1反应气体与第2反应气体的混合。即,在第3空间D中,阻止了来自旋转台2的逆旋转方向侧的第2反应气体的进入,也阻止了来自旋转台2的正旋转方向侧的第1反应气体的进入。“阻止气体的进入”是指从第1分离气体供给部41喷出的第1分离气体扩散到第3空间D里,并吹出到相邻的作为第2下表面部45a的下方侧空间的第2空间P2,由此来自相邻的第1空间P1和第2空间P2的气体无法进入。并且,“气体无法进入”不仅是指气体完全不会从相邻的第1空间P1和第2空间P2进入第3空间D的状态,还包括虽然进入一些,但分别从两侧进入的第1反应气体和第2反应气体在第3空间D不会被混合的状态。只要能够获得这些状态,就确保了第3空间D的作用即使第1空间P1的气氛与第2空间P2的气氛之间分离的分离作用。此外,被吸附在晶圆上的气体能够在第3空间D内通过,因此“气体的进入”中的气体是指气相中的气体。
另外,如图4A所示,保护顶板4的第3下表面部44距旋转台2的高度H3例如可以是从大约0.5mm到大约10mm,优选是大约4mm。在这种情况下,旋转台2的转速例如被设定为1rpm~500rpm。为了确保第3下表面部44的分离功能,根据旋转台2的转速的使用范围等,例如根据实验等设定第3下表面部44的大小、第3下表面部距旋转台2的高度H3。此外,作为第1分离气体,不限于N2气体,也可以使用Ar气体等惰性气体,但是不限于惰性气体,也可以是氢气,只要是不对成膜处理产生影响的气体,则对气体的种类没有特别地限定。
并且,如图6A和6B中以第1分离气体供给部41为代表所示那样,分别位于第1分离气体供给部41(42)的两侧的形成狭窄的空间的第3下表面部44作为晶圆W的中心WO所通过的部分沿旋转台2的旋转方向的宽度尺寸(与晶圆中心WO所通过的路径对应的圆弧的长度)L,可以是晶圆W的直径的大约1/10~大约1/1的长度,优选是大约1/6以上。具体而言,在晶圆W具有300mm的直径的情况下,优选该长度L是大约50mm以上。为了有效地阻止反应气体从第3下表面部44的两侧进入到作为第3下表面部44的下方的第3空间D(具有低于第1高度H 1和第2高度H2的第3高度H3的狭窄的空间),在宽度尺寸L较短的情况下,需要与其相应地也使作为第3下表面部44与旋转台2之间的距离的第3高度H3变小。并且,当将作为第3下表面部44与旋转台2之间的距离的第3高度H3设定为一定尺寸时,离旋转台2的旋转中心越远,旋转台2的速度越快,因此为了获得反应气体的进入阻止效果所要求的宽度尺寸L离旋转中心越远越长。从这种观点出发,当晶圆W的中心WO所通过的部分的宽度尺寸L小于50mm时,需要使作为第3下表面部44与旋转台2之间的距离的第3高度H3相当小,因此为了在旋转台2旋转时防止旋转台2与第3下表面部44或晶圆W与第3下表面部44之间的碰撞,而要想办法极力抑制旋转台2的晃动。另外,旋转台2的转速越高,反应气体越容易从第3下表面部44的上游侧进入到第3下表面部44的下方侧,因此当使宽度尺寸L小于50mm时,必须降低旋转台2的转速,在生产率方面看来不是上策。因而,优选宽度尺寸L为50mm以上。但是,第3下表面部44的尺寸不限于上述的尺寸,也可以按照所使用的工艺参数、晶圆尺寸来进行调整。另外,只要作为狭窄的空间的第3空间D具有能够形成从第3空间D向第1(第2)空间P1(P2)的分离气体的流动那样程度的高度即可,从上述说明可知,狭窄空间(第3空间D)的高度(第3高度)H3除了根据所使用的工艺参数、晶圆尺寸以外,例如还可以根据第3下表面部44的面积进行调整。
如图1所示,保护顶板4的突出部53在第1下表面部45和第2下表面部45a中处于各个区域的旋转中心侧与芯部21的外周侧之间,是与旋转台2相面对的区域。另外,如图7所示,保护顶板4的突出部53在2个第3下表面部44中与各个区域的旋转中心侧连续而形成为一体,其下表面被形成在与第3下表面部44相同的高度上。但是,保护顶板4的突出部53与第3下表面部44既可以是一体,也可以是各自独立的。
保护顶板4的旋转中心侧部5是位于突出部53的旋转中心侧的区域。在本实施方式中,旋转中心侧部5与突出部53的边界例如可以设置在距旋转中心具有140mm半径的圆周上。
如图1和图7所示,第2分离气体供给部51贯穿真空容器1的顶板11,与保护顶板4的中心部连接。第2分离气体供给部51用于将第2分离气体供给到作为保护顶板4与芯部21之间的空间的中心部区域C。作为第2分离气体,并没有特别地限定,例如能够使用N2气体。
供给到中心部区域C的第2分离气体经由突出部53与旋转台2之间的狭窄的间隙50沿旋转台2的基板载置部侧的表面向周缘喷出。在被突出部53围成的空间中充满第2分离气体,因此阻止第1反应气体与第2反应气体在第1空间P1与第2空间P2之间经由旋转台2的中心部混合。即,成膜装置具有中心部区域C,该中心部区域C是为了分离第1空间P1与第2空间P2的气氛而被旋转台2的旋转中心部与真空容器1划分成的,被供给第2分离气体且沿旋转方向形成有将分离气体喷出到旋转台2的表面上的喷出口。此外,喷出口相当于突出部53与旋转台2之间的狭窄的间隙50。
接着说明被收纳在真空容器1中的各部分之中处于旋转台2的外周面侧和旋转台2的下侧且处于底面部14的上侧的位置的构件。即,说明真空容器保护部49、容器主体12、排气空间6。
如图1和图7所示,真空容器保护部49被设置在保护顶板4的下侧,被设置成与保护顶板4一起围绕旋转台2、第1空间P1、第2空间P2以及第3空间D。真空容器保护部49用于保护真空容器1免受第1反应气体和第2反应气体的腐蚀。真空容器保护部49由保护圆筒49a和保护底板49b构成。保护圆筒49a和保护底板49b与保护顶板4同样地例如由石英或陶瓷构成。
如图1和图7所示,保护圆筒49a在旋转台2与容器主体12之间被设置成与旋转台2的外端面相面对。另外,如图1和图7所示,保护底板49b在旋转台2与容器主体12之间被设置成与旋转台2的下表面相面对。
保护圆筒49a和保护底板49b与保护顶板4同样地阻止第1反应气体与第2反应气体在旋转台2的外周侧蔓延而相互混入,并且以在从第1反应气体供给部31和第2反应气体供给部32供给具有腐蚀性的反应气体或清洁气体的情况下对真空容器1进行耐腐蚀保护的目的而被设置。但是,由于保护顶板4、保护圆筒49a以及保护底板49b能够相互分离地卸下,因此在保护顶板4、保护圆筒49a以及保护底板49b之间存在少许间隙。
如图7所示,容器主体12的内周壁在第3空间D中以靠近保护圆筒49a的外周面并与保护圆筒49a的外周面相面对的方式形成为垂直面。另外,容器主体12的内周壁具有如下结构:在第3空间D以外的部位中也如图1所示那样以靠近保护圆筒49a的外周面并与保护圆筒49a的外周面相面对的方式形成为垂直面,但是纵截面形状以从内周壁的下端的部位贯穿底面部14的方式呈矩形被切掉。该被切掉的部分是后述的排气空间6。
如图1所示,第1保护气体供给部55在真空容器1的容器主体12的内周壁中处于与保护圆筒49a的外周面相面对的位置,并且被设置在旋转方向的多个部位。第1保护气体供给部55用于在真空容器1的顶板11与保护顶板4之间供给用于对真空容器1进行耐腐蚀保护的第1保护气体。具体而言,第1保护气体被供给到真空容器1的顶板11与保护顶板4的间隙,对真空容器1的顶板11进行耐腐蚀保护。另外,第1保护气体也被供给到真空容器1的容器主体12的内周壁与真空容器保护部49的保护圆筒49a之间的间隙,对真空容器1的容器主体12进行耐腐蚀保护。作为第1保护气体,并没有被特别地限定,例如使用N2气体。
在此,说明第1保护气体对真空容器1进行保护的保护作用。
保护顶板4、保护圆筒49a、保护底板49b被设置成围绕旋转台2、第1空间P1、第2空间P2、第3空间D。保护顶板4、保护圆筒49a、保护底板49b以能够卸下地被抵接的状态构成,因此在保护顶板4、保护圆筒49a、保护底板49b之间存在间隙。
通过在保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间供给第1保护气体使得保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间的压力高于由保护顶板4、保护圆筒49a以及保护底板49b围绕的空间的压力,由此能够阻止第1反应气体和第2反应气体进入到保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间。具体而言,通过调节从第1保护气体供给部55供给的第1保护气体的供给量以及后述的真空排气部件的排气量,能够使保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间的压力高于由保护顶板4、保护圆筒49a以及保护底板49b围绕的空间的压力,例如能够高100Pa。
在使用包括氯气等的反应气体作为第1反应气体或第2反应气体而进行成膜的情况下、或者通过第1反应气体供给部31或第2反应气体供给部32来使用包括氯气等的清洁气体来替代第1反应气体或第2反应气体而进行旋转台2等的清洁的情况下,通过供给第1保护气体,能够保护真空容器1的顶板11和容器主体12免受第1反应气体和第2反应气体的腐蚀。
如图1和图3所示,在排气空间6的底部例如设有2个排气口61、62。排气口61、62分别经由排气管63被连接到作为真空排气部件的例如共用的真空泵64上。另外,在排气口61与真空泵64之间,压力调整构件65被设置在排气管63上。压力调整构件65既可以按每个排气口61、62设置,也可以被共用化。排气口61、62在俯视中被设置在第3空间D的旋转方向两侧来可靠地发挥第3空间D的分离作用,专门进行第1反应气体和第2反应气体的排气。在本实施方式中,一排气口61被设置在第1反应气体供给部31与相对于第1反应气体供给部31靠近旋转方向下游侧的第3空间D之间,另一排气口62被设置在第2反应气体供给部32与相对于第2反应气体供给部32靠近旋转方向下游侧的第3空间D之间。
排气口的设置数量并不限于2个,例如也可以在包括第1分离气体供给部42的第3空间D与相对于第3空间D靠近旋转方向下游侧的第2反应气体供给部32之间再设置排气口而成为3个,还可以是4个。在该例子中,排气口61、62通过设置在真空容器1的底面部14且低于旋转台2的位置上,从真空容器1的内周壁与保护圆筒49a之间的间隙、保护顶板4与保护圆筒49a之间的间隙以及保护圆筒49a与保护底板49b之间的间隙排出气体,但是并不限于设置在真空容器1的底面部14上,也可以设置在真空容器1的侧壁上。另外,在将排气口61、62设置在真空容器的侧壁上的情况下,也可以设置在高于旋转台2的位置上。通过这样设置排气口61、62,旋转台2上的气体朝向旋转台2的外侧流动,因此与从旋转台2所相面对的顶面排气的情况相比,在能够抑制微粒的卷起的方面来看是有利的。
接着,说明被收纳在真空容器1中的各部分之中比真空容器保护部49更靠近下侧且直到真空容器1的底面部14的部分。即,说明加热器单元(加热部)7、罩部71、底面部14、第3分离气体供给部72、第2保护气体供给部73。
如图1和图5所示,加热器单元7被设置在旋转台2与真空容器1的底面部14之间的空间。加热器单元7用于隔着旋转台2将旋转台2上的晶圆加热到由制程程序决定的温度。加热器单元7既可以设置在旋转台2的上方侧,也可以设置在上下两侧来替代设置在旋转台2的下方侧。另外,加热器单元7并不限于使用电阻发热体,也可以使用红外线灯。此外,也可以在加热器单元7的下半部分设置用于将从发热单元7产生的热之中向下侧产生的热反射到上侧来提高热效率的反射器(反射板)。
罩部71是为了在旋转台2的周缘侧且下方侧划分旋转台2的下方空间和排气空间6并且载置真空容器保护部49的保护底板49b而被设置。另外,罩部71被形成为在整个圆周围着加热器单元7。罩部71与保护底板49b抵接,防止第1反应气体和第2反应气体进入到罩部71的内周侧。
底面部14在比配置有加热器单元7的空间靠近旋转中心侧的部位具有狭窄的间隙地靠近旋转台2的下表面的中心部附近和芯部21。底面部14即使在贯穿底面部14的旋转轴22的通孔中,通孔的内周面与旋转轴22的间隙也比较窄。另外,通孔与壳体20连通。
第3分离气体供给部72被设置在壳体20上。第3分离气体供给部72用于将第3分离气体供给到狭窄的空间内。作为第3分离气体,并没有特别地限定,例如使用N2气体。
第2保护气体供给部73在真空容器1的底面部14中位于加热器单元7的下方侧的位置,并且被设置在旋转方向的多个位部位。第2保护气体供给部73用于将第2保护气体供给到配置有加热器单元7的空间。作为第2保护气体,并没有特别地限定,例如使用N2气体。
如图8中用箭头表示第3分离气体和第2保护气体的流动那样,通过设置第3分离气体供给部72、第2保护气体供给部73,例如将N2气体供给到从壳体20内到加热器单元7的配置空间为止的空间内,N2气体从旋转台2与罩部71之间的间隙经由排气空间6被排气口61、62排出。由此,阻止了第1反应气体和第2反应气体从第1空间P1和第2空间P2的一方经由旋转台2的下方绕到另一方,因此第3分离气体具有作为分离气体的作用。另外,由于能够阻止第1反应气体和第2反应气体从第1空间P1和第2空间P2进入到处于保护底板49b的下方的配置有加热器单元7的空间,因此第2保护气体也具有防止第1反应气体和第2反应气体吸附在加热器单元7上的作用。
接着,说明被设置在真空容器1的外部的部分以及用于与被设置在外部的部分之间进行输送的部分。
如图2、图3以及图9所示,在真空容器1的侧壁形成有用于在外部的输送臂10与旋转台2之间交接晶圆的输送口15,输送口15通过未图示的闸阀开闭。旋转台2中的作为基板载置部的凹部24在输送口15的位置与输送臂10之间进行晶圆W的交接,因此在旋转台2的下方侧与交接位置对应的部位设置贯穿凹部24而用于从背面抬起晶圆的交接用升降销16的升降机构。
另外,如图1和图3所示,本实施方式的成膜装置设有由用于控制装置整体的动作的MCU(Micro Controller Unit)等计算机中形成的控制部100。如图10所示,在控制部100中设有具有由CPU(Central Processing Unit)、MPU(MicroProcessing Unit)等形成的处理器且控制成膜装置的各部分的处理控制器100a、用户界面部100b以及存储部100c。
用户界面部100b由工序管理者为了管理成膜装置而进行输入命令的操作的键盘、将成膜装置的工作状况可视化而显示的显示器等构成。
在存储部100c中存储用于通过处理控制器100a的控制来实现由成膜装置执行的各种处理的控制程序(软件)、存储了处理条件数据等的制程程序。并且,根据需要,根据来自用户界面部100b的指令等从存储部100c调用任意的制程程序来使程序控制器100a执行,由此在程序控制器100a的控制下,使成膜装置执行所期望的功能来进行所期望的处理。也就是说,程序对成膜装置进行控制来使计算机实现与成膜装置的成膜处理有关的功能,或者使计算机执行与成膜装置的成膜处理有关的工序,或者使计算机作为执行成膜装置的成膜处理的部件而发挥作用。另外,将控制程序、处理条件数据等的制程程序以被保存到能够由计算机读取的程序存储介质(例如硬盘、光盘、磁光盘、存储卡、软盘(floppy disc)(注册商标)等)中的状态安装到程序控制器100a中使用,或者也可以从其它装置例如通过专用电路随时交接而在线利用。
接着,使用图9、图11以及图12说明使用了本实施方式的成膜装置的成膜方法。
图11是用于说明使用了本实施方式的成膜装置的成膜方法的工序的工序图。另外,图12是用于说明使用了本实施方式的成膜装置的成膜方法的图,是表示第1反应气体、第2反应气体以及第1分离气体流动的形态的图。图12与图3同样地是真空容器1的顶板11被分离的状态的俯视图。
如图11所示,本实施方式的成膜方法包括以下工序:载置工序,将基板载置在真空容器内的旋转台上;旋转工序,使旋转台旋转;成膜工序,从第1反应气体供给部和第2反应气体供给部分别供给第1反应气体和第2反应气体,供给第1分离气体等,使基板随着旋转台2的旋转而移动,重复第1反应气体向基板表面的供给、第1反应气体的停止、第2反应气体的供给以及第2反应气体的停止来形成薄膜;以及输出工序,停止从第1反应气体供给部和第2反应气体供给部供给第1反应气体和第2反应气体,停止基板的加热,停止各分离气体、各保护气体的供给,停止旋转台的旋转,通过输送臂输出基板。
首先,进行载置工序。如图11的步骤S11所示那样,载置工序是将基板载置在真空容器内的旋转台上的工序。
具体而言,如图9所示,打开闸阀,由输送臂10经由输送口15从外部将晶圆W交接到旋转台2的凹部24。如图9所示,该交接是在凹部24停止在与输送口15相面对的位置时,经由凹部24的底面的通孔从真空容器的底部侧将升降销16升降来进行的。间歇性地使旋转台2旋转的同时进行这种晶圆W的交接,在旋转台2的5个凹部24内分别载置晶圆W。
接着,进行旋转工序。如图11的步骤S12所示,旋转工序是使旋转台2旋转的工序。
接着,进行成膜工序。如图11的步骤S13至步骤S17所示,成膜工序包括:从第1保护气体供给部、第2保护气体供给部分别供给第1保护气体和第2保护气体的工序(S13);从第1分离气体供给部、第2分离气体供给部以及第3分离气体供给部分别供给第1分离气体、第2分离气体以及第3分离气体的工序(S14);通过加热器单元加热基板的工序(S15);从第1反应气体供给部31和第2反应气体供给部32分别供给第1反应气体和第2反应气体的工序(S16);以及使基板随着旋转台2的旋转而移动,重复第1反应气体向基板表面的供给、第1反应气体的停止、第2反应气体的供给及第2反应气体的停止来形成薄膜的工序(S17)。
首先,通过真空泵64将真空容器1内抽真空成预先设定的压力,并且从第1保护气体供给部55、第2保护气体供给部73分别供给作为第1保护气体和第2保护气体的N2(S13)。
接着,第1分离气体供给部、第2分离气体供给部以及第3分离气体供给部分别供给作为第1分离气体、第2分离气体以及第3分离气体的N2(S14)。
接着,通过加热器单元对晶圆W进行加热(S15)。在该工序中,在晶圆W被载置在旋转台2上之后,由加热器单元7将晶圆W加热到例如300℃。另一方面,也能够通过由加热器单元7将旋转台2预先加热到例如300℃,通过将晶圆W载置在该旋转台2上来进行加热工序。
接着,从第1反应气体供给部31和第2反应气体供给部32分别供给第1反应气体和第2反应气体(S16)。在通过温度传感器确认了晶圆W的温度成为设定温度之后,从第1反应气体供给部31和第2反应气体供给部32分别喷出BTBAS气体和O3气体。
此外,S13、S14、S15、S16并不限于按顺序进行的方法,也可以更换顺序后开始,还能够同时开始。例如,也能够以如下顺序进行:从第1反应气体供给部31和第2反应气体供给部32分别喷出BTBAS气体和O3气体,并且从第1分离气体供给部41、42喷出作为第1分离气体的N2气体。
这样,通过进行步骤S13至步骤S16的工序,使基板随着旋转台2的旋转而移动,重复第1反应气体向基板表面的供给、第1反应气体的停止、第2反应气体的供给以及第2反应气体的停止来形成薄膜(S17)。
晶圆W通过旋转台2的旋转,交替地通过设有第1反应气体供给部31的第1空间P1和设有第2反应气体供给部32的第2空间P2,因此吸附BTBAS气体,接着,吸附O3气体,BTBAS分子被氧化而形成1层或多层氧化硅的分子层,这样按顺序层叠氧化硅的分子层来形成规定的膜厚的氧化硅膜。
此时,也从第2分离气体供给部51供给作为分离气体的N2气体,由此从中心部区域C、即从突出部53与旋转台2的中心部之间沿着旋转台2的表面喷出N2气体。在该例子中,在沿着配置有第1反应气体供给部31和第2反应气体供给部32的第1下表面部45以及第2下表面部45a的下方侧的空间的真空容器1的内周壁中,如所述那样内周壁被切掉而变宽,排气口61、62位于该宽的空间的下方,因此第1下表面部45和第2下表面部45a的下方侧的空间的压力低于第3下表面部44的下方侧的狭窄的空间和中心部区域C的各压力。该第1下表面部45和第2下表面部45a的下方侧的空间的压力低于第3下表面部44的下方侧的狭窄的空间和中心部区域C的各压力是因为第3下表面部44的下方侧的狭窄的空间被形成为能够通过第3高度H3来保持配置有第1(第2)反应气体供给部31(32)的空间、或第1(第2)空间P1(P2)与狭窄的空间之间的压力差。
图12示意性地表示从各部位喷出了气体时的气体的流动的状态。从第2反应气体供给部32向下方侧喷出而碰到旋转台2的表面(被载置在凹部24中的晶圆W的表面、没有载置晶圆W的凹部24以及凹部24以外的表面)并沿旋转台2的表面朝向旋转方向上游侧的O3气体被从旋转方向上游侧流过来的N2气体吹回,并通过保护顶板4的外周侧与保护圆筒49a的上端侧之间的间隙或保护圆筒49a的下端侧与保护底板49b的外周侧之间的间隙而流入排气空间6中,由排气口62排出。
另外,从第2反应气体供给部32向下方侧被喷出而碰旋转台2的表面并沿着旋转台2的表面朝向旋转方向下游侧的O3气体通过从中心部区域C喷出的N2气体的流动和排气口62的吸引作用而欲朝向该排气口62,但是一部分朝向靠近下游侧的第3空间D,欲流入扇形的第3下表面部44的下方侧。可是,该第3下表面部44的高度以及旋转方向的长度在包括各气体的流量等的运转时的过程参数中被设定为能够防止气体进入第3下表面部44的下方侧那样的尺寸,因此也如图4B所示那样,O3气体几乎无法流入到扇形第3下表面部44的下方侧或者即使少许流入了下方侧也不可能到达第1分离气体供给部41的附近,而被从第1分离气体供给部41喷出的N2气体吹回旋转方向上游侧、即第2空间P2侧,与从中心部区域C喷出的N2气体一起通过保护顶板4的外周侧与保护圆筒49a的上端侧之间的间隙或保护圆筒49a的下端侧与保护底板49b的外周侧之间的间隙而经由排气空间6被排气口62排出。
另外,从第1反应气体供给部31向下方侧被喷出而沿着旋转台2的表面分别朝向旋转方向上游侧和下游侧的BTBAS气体完全无法进入或即使进入到与该旋转方向的上游侧以及下游侧相邻的扇形的第3下表面部44的下方侧,也被吹回第1空间P1侧,与从中心部区域C喷出的N2气体一起通过保护顶板4的外周侧与保护圆筒49a的上端侧之间的间隙或保护圆筒49a的下端侧与保护底板49b的外周侧之间的间隙来经由排气空间6而被排气口61排出。即,阻止在气氛中流动的作为反应气体的BTBAS气体或O3气体进入各第3空间D中,但是被吸附在晶圆上的气体分子保持吸附状态地通过分离区域、即扇形的第3下表面部44的下方,有助于成膜。
并且,第1空间P1的BTBAS气体和第2空间P2的O3气体欲进入中心部区域C内,但是如图8和图12所示那样,从中心部区域C朝向旋转台2的周缘喷出第2分离气体,因此进入被第2分离气体阻止,或者即使多少进入一些也被吹回,阻止经由该中心部区域C流入到第1空间P1和第2空间P2。
并且,在第3空间D中,由于保护圆筒49a与旋转台2的外端面之间的间隙如所述那样变窄而实质上阻止气体的通过,因此也阻止了第1空间P1的BTBAS气体(第2空间P2的O3气体)经由旋转台2的外侧流入到第2空间P2(第1空间P1)。因而,第1空间P1的气氛和第2空间P2的气氛被2个第3空间D完全分离,BTBAS气体被排气口61排出,另外O3气体被第2排气口62排出。结果,第1反应气体BTBAS气体和第2反应气体O3气体无论在气氛中也还是在晶圆上都不会相互混合。此外,在该例子中,由于作为第2分离气体的N2气体被供给到旋转台2的下方侧,因此完全不用担心流入到排气空间6的气体钻过旋转台2的下方侧,例如作为第1反应气体的BTBAS气体流入作为第2反应气体的O3气体的供给区域。
并且,通过将第1保护气体供给到保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间,能够使保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间的压力比由保护顶板4、保护圆筒49a以及保护底板49b围绕的空间的压力高例如100Pa,能够阻止第1反应气体和第2反应气体进入到保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间。
此外,使用压力计测量保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间的压力、由保护顶板4和保护圆筒49a以及保护底板49b围绕的空间的压力并进行监视,能够使保护顶板4和保护圆筒49a与真空容器1的顶板11和容器主体12之间的压力比由保护顶板4、保护圆筒49a以及保护底板49b围绕的空间的压力高100Pa。这样,能够将第1反应气体和第2反应气体相互分离,对真空容器1进行耐腐蚀保护的同时进行成膜。
在成膜处理之后进行输出工序。如图11的步骤S18至步骤S20所示,输出工序包括停止从第1反应气体供给部31和第2反应气体供给部32供给第1反应气体和第2反应气体的工序(S18)、停止基板的加热、停止第1分离气体、第2分离气体和第3分离气体的供给、停止第1保护气体和第2保护气体的供给、停止旋转台2的旋转的工序(S19)、将基板由输送臂10通过输送口15输出的工序(S20)。
另外,在结束了多次成膜处理之后,进行对成膜装置内的不需要的堆积物进行清洁的清洁处理。此时,从第1反应气体供给部31和第2反应气体供给部32供给用于对堆积在旋转台2等不需要的部分中的不需要的堆积物进行蚀刻的作为腐蚀剂而发挥功能的腐蚀性的反应气体。作为蚀刻气体,使用包括氯气等的具有腐蚀性的气体,但是旋转台2、第1空间P1、第2空间P2以及第3空间D由保护顶板4和真空容器保护部49围绕,使得腐蚀性的反应气体不会进入到保护顶板4和真空容器保护部49与真空容器1之间,因此能够不使真空容器1腐蚀劣化就能够进行清洁处理。
在此,事先记载了处理参数的一个例子,在将300mm直径的晶圆W作为被处理基板的情况下,旋转台2的转速例如是1rpm~500rpm,工艺压力例如是1067Pa(8Torr),晶圆W的加热温度例如是350℃,BTBAS气体和O3气体的流量例如分别是100sccm和10000sccm,来自分离气体喷嘴41、42的N2气体的流量例如是20000sccm,来自真空容器1的中心部的第2分离气体供给部51的N2气体的流量例如是5000sccm。另外,对1片晶圆供给反应气体的循环数、即晶圆分别通过第1空间P1和第2空间P2的次数根据目标膜厚而改变,但是多次例如是600次。
根据本实施方式,在旋转台2的旋转方向上配置多个晶圆W,使旋转台2旋转按顺序通过第1空间P1和第2空间P2,进行所谓的ALD(或MLD),因此能够以较高的生产率进行成膜处理。并且,沿旋转方向在第1空间P1与第2空间P2之间设置具有较低的顶面的第3空间D,并且从由旋转台2的旋转中心部和真空容器1划分的中心部区域C朝向旋转台2的周缘喷出分离气体,反应气体与扩散到第3空间D的两侧的分离气体和从中心部区域C喷出的分离气体一起通过保护顶板4与保护圆筒49a之间的间隙和保护圆筒49a与保护底板49b之间的间隙被排出,因此能够防止两种反应气体的混合,结果,能够进行良好的成膜处理,在旋转台2上完全不会产生反应生成物或者极力抑制产生反应生成物,抑制了微粒的产生。此外,本发明也能够应用于将1个晶圆W载置在旋转台2上的情况。
另外,根据本发明,通过保护顶板4和真空容器保护部49对真空容器1进行了耐腐蚀保护,因此能够使用包括氯气等的具有腐蚀性的气体来进行旋转台等的清洁。
作为在本发明中应用的处理气体,除了上述例子之外,还能够应用DCS(二氯硅烷)、HCD(六氯乙硅烷)、TMA(trimethylaluminum:三甲基铝)、3DMAS(三(二甲氨基)硅烷)、TEMAZ(四(二乙基氨基)锆)、TEMHF(四-(乙基甲基胺基酸)-铪)、Sr(THD)2【(双四甲基甲基庚二酮酸)锶】、Ti(MPD)(THD)2【(甲基庚二酮双四甲基庚二酮酸)钛】、单氨基硅烷等。
另外,代替氧化硅膜也可以形成氮化硅膜,在这种情况下,可以将SiH2Cl2等包含氯气的具有腐蚀性的气体用作第1反应气体和第2反应气体。另外,在进行旋转台等的清洁的情况下,可以从第1反应气体供给部和第2反应气体供给部供给ClF3等包含氯气的具有腐蚀性的气体。
以上,根据本实施方式的成膜装置,能够得到较高的生产率,防止多个反应气体在基板上混合,从而进行良好的处理,能够保护真空容器免受多个反应气体的腐蚀。
此外,在本实施方式的成膜装置中,示出使用2种反应气体的例子,但是本发明并不限于使用2种反应气体,也可以应用于在基板上按顺序供给3种以上的反应气体的情况。例如在将第1反应气体、第2反应气体以及第3反应气体这3种气体用作反应气体的情况下,可以在真空容器1的周向将各气体供给部配置成第1反应气体供给部、第1分离气体供给部、第2反应气体供给部、第1分离气体供给部、第3反应气体供给部以及第1分离气体供给部的顺序,可以配置成形成包括各气体供给部的保护顶板4的下表面的区域。
第1实施方式的第1变形例
接着,参照图13说明本发明的第1实施方式的第1变形例的成膜装置。
图13是用于说明本变形例的成膜装置的图,是表示第3下表面部的保护顶板的形状的另一例的纵剖视图。但是,在下文中,对之前说明的部分标注相同的附图标记,有时省略说明(下面的变形例、实施方式也相同)。
本变形例的成膜装置与第1实施方式的成膜装置的不同之处在于,在第3空间D的保护顶板4的内部沿旋转台2的径向形成有第1分离气体的流通室47。
参照图13,与在第1实施方式中在与第1分离气体供给部对应的部分形成槽使得在第1分离气体供给部的两侧配设有第3下表面不同,在本变形例中,在第3空间D的保护顶板4的内部沿旋转台2的径向形成有第1分离气体的流通室47,在流通室47的底部沿长度方向穿设多个气体喷出孔40。
因而,除了流通室47以外,不需要新设置第1分离气体供给部,也可以得到与第1实施方式相同的效果,并且能够减少零件件数。
此外,在本变形例中,示出了第1分离气体供给部被嵌入到形成第3下表面部44的保护顶板4中的例子,但是对于第3空间D和第1分离气体供给部的组合结构,如果是第1反应气体和第2反应气体不会进入到真空容器1的顶板11和保护顶板4之间,则也可以采取如下配置:在第1分离气体供给部中将保护顶板44分成两个部分,从两侧夹着第1分离气体供给部。
第1实施方式的第2变形例
接着,参照图14A至图14C,说明本发明的第1实施方式的第2变形例的成膜装置。
图14A至图14C是用于说明本变形例的成膜装置的图,是表示第3下表面部的保护顶板的下表面的形状的另一例的纵剖视图。
本变形例的成膜装置与第1实施方式的成膜装置的不同点在于,第3空间D的第3下表面部是曲面。
参照图14A至图14C,与第1实施方式中第1分离气体供给部的两侧的第3下表面部是平面不同,在本变形例中,第1分离气体供给部41的两侧的第3下表面部44是曲面。
第3下表面部44只要能够分离第1反应气体和第2反应气体,并不限于第1实施方式的平面的情况,也可以如图14A所示那样是凹面,也可以如图14B所示那样是凸面,还可以如图14C所示那样是波形形状。例如在如图14A所示那样是凹面的情况下,在第3下表面部44与第1下表面部45或第3下表面部44与第2下表面部45a相邻接的端部能够使从旋转台2到第3下表面部44的高度变低,因此能够更高效率地阻止第1反应气体和第2反应气体进入第3下表面部44。另外,例如在如图14B所示那样是凸面的情况下,在与凸面的顶点相对应的第3下表面部44中能够使从旋转台2到第3下表面部44为止的高度变低,因此能够更高效率地阻止第1反应气体和第2反应气体进入第3下表面部44。另外,例如如图14C所示那样是波形形状的情况下,由于与设置多个如图14B所示的凸面的顶点相对应,因此能够更高效率地阻止第1反应气体和第2反应气体进入第3下表面部44。
第1实施方式的第3变形例
接着,参照图15A~图15C以及图16A~图16D,说明本发明的第1实施方式的第3变形例的成膜装置。
图15A~图15C是用于说明本变形例的成膜装置的图,是表示第1反应气体供给部的气体喷出孔的形状的其它例子的仰视图。另外,图16A~图16D是用于说明本发明的第1实施方式的第3变形例的成膜装置的图,是表示第3下表面部的形状的另一例的仰视图。此外,在图15A~图15C中,示出第3下表面部44和喷出孔33的配置位置。
本变形例的成膜装置与第1实施方式的成膜装置的不同之处在于,被形成在第1分离气体供给部上的喷出孔从旋转台2的周缘向旋转中心排列成直线状。
参照图15A~图15C,与被形成在第1分离气体供给部上的喷出孔33在第1实施方式中被配置成从旋转台2的周缘向旋转中心排列成直线状不同,在本变形例中,没有以从旋转台2的周缘向旋转中心排列成直线状的方式配置。
喷出孔33只要是能够对基板均匀地供给第1分离气体,并不限于如第1实施方式那样从旋转台2的周缘向旋转中心排列成直线状,也可以如下进行配置。
如图15A所示,由相对于旋转台2的直径倾斜的具有矩形形状的狭缝构成的多个喷出孔33在直径方向上隔开间隔地进行配置。另外,如图15B所示,具有多个圆形形状的喷出孔33被蜿蜒配置。另外,如图15C所示,由多个具有圆弧形状的狭缝构成的喷出孔33相对于旋转台2的旋转中心被配置成同心。
另外,第3下表面部可以是空心的,也可以构成为在空心内导入第1分离气体。在这种情况下,也能够如图15A、图15B、15C所示那样排列多个气体喷出孔33。
另外,在本变形例中,第3下表面部44具有大致扇形的上表面形状,但是也可以具有如图16A所示的长方形、或者正方形的上表面形状。另外,如图16B所示,第3下表面部44也可以具有上表面整体是扇形,并具有弯曲成凹状的侧面44Sc。除此之外,如图16C所示,第3下表面部44也可以上表面整体是扇形并具有弯曲成凸状的侧面44Sv。另外,如图16D所示,也可以第3下表面部44的旋转台2(图1)的旋转方向的上游侧的部分具有凹状的侧面44Sc,第3下表面部44的旋转台2(图1)的旋转方向的下游侧的部分具有平面状的侧面44Sf。此外,在图16A~16D中,虚线示出了被形成在第3下表面部44上的槽部43(图4A、图4B)。在这些情况下,被收纳在槽部43中的第1分离气体供给部41、42(图2)从真空容器1的中央部、例如突出部53(图1)延伸。
通过这样配置喷出孔33,能够在第3下表面部44更均匀地供给第1分离气体,因此能够更高效率地阻止第1反应气体和第2反应气体进入到第3下表面部44。
第1实施方式的第4变形例
接着,参照图17说明本发明的第1实施方式的第4变形例的成膜装置。
图17是示意性地表示本变形例的成膜装置的结构的横剖俯视图。另外,图17是真空容器1的顶板11被分离的状态的俯视图。
本变形例的成膜装置与第1实施方式的成膜装置的不同之处在于,第2反应气体供给部被设置在输送口的旋转台的旋转方向上游侧。
参照图17,与第1实施方式中第2反应气体供给部被设置在输送口的旋转台的旋转方向下游侧不同,在本变形例中,第2反应气体供给部32被设置在输送口15的旋转台2的旋转方向上游侧。
即使是这种布局,也能够更高效率地分离第1反应气体和第2反应气体,并且能够阻止第1分离气体进入第1下表面部45和第2下表面部45a,因此在第1下表面部45和第2下表面部45a中能够分别将第1反应气体和第2反应气体更高效率地供给到晶圆上。
第1实施方式的第5变形例
接着,参照图18说明本发明的第1实施方式的第5变形例的成膜装置。
图18是示意性地表示本变形例的成膜装置的结构的横剖俯视图。另外,图18是真空容器1的顶板11被分离的状态的俯视图。
本变形例的成膜装置与第1实施方式的成膜装置的不同点在于,第3下表面部在周向上被分割为两个部分,在两个部分之间设置第1分离气体供给部。
参照图18,与第1实施方式中在第3下表面部的所有部分从旋转台到保护顶板的下表面的高度都相同的情形不同,在本变形例中,具有被设置成包括第1分离气体供给部41、42并且距旋转台2高于第3高度H3的第3下表面部44a和与第3下表面部44a相邻接、被设置在距旋转台的距离为第3高度H3的位置的第3下表面部44b。
通过设置这样的区域,能够更高效率地分离第1反应气体和第2反应气体,并且能够阻止第1分离气体进入第1下表面部45和第2下表面部45a,因此在第1下表面部45和第2下表面部45a中能够分别将第1反应气体和第2反应气体更高效率地供给到晶圆上。
此外,能够考虑第1反应气体、第2反应气体以及第1分离气体的喷出流量等来优化设计第3下表面部44b与第1分离气体供给部41、42之间的距离、第3下表面部44b的形状及大小。
第1实施方式的第6变形例
接着,参照图19说明本发明的第1实施方式的第6变形例的成膜装置。
图19是示意性地表示本变形例的成膜装置的结构的立体图。
本变形例的成膜装置与第1实施方式的成膜装置的不同点在于,具有第6下表面部与第7下表面部来替代第2下表面部。
参照图19,与第1实施方式中在第2下表面部的所有部分从旋转台到保护顶板的下表面的高度相同的情形不同,在本变形例中,替代第2下表面部,具有包括第2反应气体供给部32并被设置在距旋转台2低于第2高度H 2的位置的第6下表面部45b和与第6下表面部45b相邻接、被设置在距旋转台2的距离为第2高度H 2的位置的第7下表面部45a。
因而,第6下表面部45b除了替代第1分离气体供给部41或42而设置第2反应气体供给部32以外,与第3下表面部44完全相同。
这样通过设置第6下表面部45b,能够更高效率地分离第1反应气体和第2反应气体,并且能够阻止第1分离气体和第1反应气体进入第6下表面部45b,因此在第6下表面部45b中能够将第2反应气体更高效率地供给到晶圆上。
此外,第6下表面部45b也可以构成为与图15A~图15C中表示一例的空心的第3下表面部44相同。
另外,在本变形例中,替代第2下表面部,具有第6下表面部和第7下表面部,但是也可以替代第1下表面部,包括第4下表面部和第5下表面部,该第4下表面部包括第1反应气体供给部并被设置在距旋转台的距离低于第1高度H1的位置,该第5下表面部与第4下表面部相邻接并被设置在距旋转台的距离为第1高度H1的位置。通过设置第4下表面部,也能够更高效率地分离第1反应气体和第2反应气体,并且能够阻止第1分离气体和第1反应气体进入第4下表面部,因此在第4下表面部中能够将第1反应气体更高效率地供给到晶圆上。
第1实施方式的第7变形例
接着,参照图20说明本发明的第1实施方式的第7变形例的成膜装置。
图20是示意性地表示本变形例的成膜装置的结构的横剖俯视图。另外,图20是真空容器的顶板被分离的状态的俯视图。
本变形例的成膜装置与第1实施方式的成膜装置的不同点在于,在第1反应气体供给部和第2反应气体供给部的两侧也设置较低的顶板。
参照图20,与第1实施方式中为了在第1分离气体供给部的两侧形成狭窄的空间而设有作为低于第1下表面部和第2下表面部的顶面的第3下表面部不同,在本变形例中,具有如下结构:在第1反应气体供给部31和第2反应气体供给部32的两侧也与第3下表面部同样地设有作为较低的顶面的第3下表面部44c~44f,这些第3下表面部44c~44f相连续。
如图20所示,具有如下结构:除了设有第1分离气体供给部41(42)、第1反应气体供给部31以及第2反应气体供给部32的区域以外,在与旋转台2相面对的整个区域设置第3下表面部。该结构的另一种解释为,是第1分离气体供给部41(42)的两侧的第3下表面部44扩展到第1和第2反应气体供给部31、32的例子。在这种情况下,第1分离气体扩散到第1分离气体供给部41(42)的两侧,第1反应气体和第2反应气体扩散到第1反应气体供给部31和第2反应气体供给部32的两侧,两种气体在第3下表面部44c~44f的下方侧且第3下表面部44c~44f与旋转台2之间的空间(狭窄的空间)合流,但是这些气体从位于第1(第2)反应气体供给部31(32)与第1分离气体供给部42(41)之间的排气口61(62)排出。这样,在本变形例中,也能够得到与第1实施方式同样的效果。
此外,第3下表面部44c~44f也可以通过组合图15A~图15C中的任一个所示的空心的下表面部来构成,不使用第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42就能将第1反应气体、第2反应气体以及分离气体分别从所对应的空心的第3下表面部44c~44f的喷出孔33喷出气体。
第1实施方式的第8变形例
接着,参照图21说明本发明的第1实施方式的第8变形例的成膜装置。
图21是示意性地表示本变形例的成膜装置的结构的纵剖视图。
本变形例的成膜装置与第1实施方式的成膜装置的不同点在于,在真空容器的中心部中使支柱介于真空容器的底面部与保护顶板之间来防止反应气体的混合。
参照图21,与第1实施方式中旋转台的旋转轴被设置在真空容器的中心部、分离气体在旋转台的中心部与保护顶板之间的空间进行吹扫不同,在本变形例中,在真空容器1的中央区域的上表面形有成凹部80a,在真空容器1的中心部将支柱81设在收纳空间80的底部与凹部80a的上表面之间。
如图21所示,真空容器1的中央区域的底面部14向下方侧突出,形成驱动部的收纳空间80,并且在真空容器1的中央区域的上表面形成有凹部80a,在真空容器1的中心部中保护顶板4介于收纳空间80的底部与凹部80a的上表面之间,由此防止来自第1反应气体供给部31的BTBAS气体和来自第2反应气体供给部32的O3气体经由中心部混合。
关于使旋转台2旋转的机构,以围着支柱81的方式设置旋转套筒82,沿着该旋转套筒82设置环状的旋转台2。并且,在收纳空间80设置由电动机83驱动的驱动齿轮部84,通过该驱动齿轮部84使旋转套筒82旋转。86、87以及88是轴承部。另外,收纳空间80的底部与供给第3分离气体的第3分离气体供给部72连接,并且将用于供给第2分离气体到凹部80a的侧面与旋转套筒82的上端部之间的空间的第2分离气体供给部51与真空容器1的上部连接。在图21中,用于对凹部80a的侧面与旋转套筒82的上端部之间的空间供给第2分离气体的开口部51a记载有左右两处,但是为了不使BTBAS气体和O3气体经由旋转套筒82的附近区域混合,优选对开口部51a(第2分离气体供给部51)的排列数进行设计。
另外,在图21的实施方式中,当从旋转台2侧观察时,凹部80a的侧面与旋转套筒82的上端部之间的空间相当于分离气体喷出孔,并且,由该分离气体喷出孔、旋转套筒82、支柱81以及保护顶板4构成位于真空容器1的中心部的中心部区域C。
第2实施方式
接着,参照图22说明本发明的第2实施方式的基板处理装置。
图22是示意性地表示本实施方式的基板处理装置的结构的俯视图。
如图22所示,本实施方式的基板处理装置具有输送容器101、大气输送室102、输送臂103、加载互锁(load lock)真空室(相当于本发明中的预备真空室)104、105、真空输送室106、输送臂107、成膜装置108、109。
输送容器101是收纳例如25片晶圆的被称为前开式晶圆传送盒的密封型的输送容器。大气输送室102是配置有输送臂103的大气输送室。加载互锁真空室104、105能够在大气气氛与真空气氛之间切换气氛。真空输送室106是配置有2台输送臂107的真空输送室。成膜装置108、109是本发明的第1实施方式的成膜装置。
输送容器101从外部输送到具有未图示的载置台的搬入输出部并进行设置。在设置输送容器101之后,由未图示的开闭机构打开大气输送室102的盖,由输送臂103从输送容器101内取出晶圆。从输送容器101内取出的晶圆被搬入到加载互锁真空室104或105中。接着,加载互锁真空室104或105的内部从大气气氛切换为真空气氛。接着,由输送臂107从加载互锁真空室104或105取出晶圆,搬入到成膜装置108或109中。之后,通过在成膜装置108或109中进行已述的成膜方法来实施成膜处理。
在本实施方式中,通过具有多个例如2个本发明的第1实施方式的例如5张处理用的成膜装置,能够以较高的生产率实施ALD或MLD的成膜处理。
另外,在本实施方式中,由于使用本发明的第1实施方式的成膜装置108、109,因此在成膜装置的内部以围绕旋转台、第1空间、第2空间、第3空间的方式设有保护顶板和真空容器保护部,由此能够保护真空容器免受第1反应气体和第2反应气体的腐蚀。
以上记述了本发明的较佳的实施方式,但是本发明并不限于上述特定的实施方式,在权利要求书内所记载的本发明的主旨的范围内可以进行各种变形、变更。
关联申请的参照
本申请基于2008年9月4日向日本专利局申请的专利申请2008-227024,以该申请主张优先权,通过参照而包括该申请的全部内容。

Claims (18)

1.一种成膜装置,其在真空容器内按顺序供给包括第1反应气体和第2反应气体的至少两种原料气体并且通过执行按顺序供给上述至少两种上述原料气体的供给循环来形成薄膜,该成膜装置包括:
旋转台,其能够旋转地被设置在上述真空容器内,具有用于载置基板的基板载置部;
保护顶板,其为了保护上述真空容器免受上述第1反应气体和上述第2反应气体的腐蚀,被设置成与上述旋转台的上表面相面对;
第1反应气体供给部和第2反应气体供给部,该第1反应气体供给部和第2反应气体供给部为了供给上述第1反应气体和上述第2反应气体,分别从上述旋转台的周缘的互不相同的位置朝向旋转中心地设置;
第1分离气体供给部,其为了供给将上述第1反应气体和上述第2反应气体分离的第1分离气体,从上述第1反应气体供给部和上述第2反应气体供给部之间的上述旋转台的周缘的位置朝向旋转中心地设置;
包括上述第1反应气体供给部的上述保护顶板的下表面具有被设置在距上述旋转台的距离为第1高度的位置的第1下表面区域,
在上述第1下表面区域与上述旋转台之间形成有第1空间,
包括上述第2反应气体供给部的上述保护顶板的下表面具有被设置在距上述旋转台的距离为第2高度且与上述第1下表面区域分离开的位置的第2下表面区域,
在上述第2下表面区域与上述旋转台之间形成有第2空间,
包括上述第1分离气体供给部且沿着上述旋转台的旋转方向位于上述第1分离气体供给部两侧的上述保护顶板的下表面具有被设置在距上述旋转台低于上述第1高度和上述第2高度的第3高度的位置的第3下表面区域,
上述第3下表面区域具有如下形状:距上述旋转台的旋转中心越是接近周缘,宽度越宽,
在上述第3下表面区域与上述旋转台之间形成有具有上述第3高度的第3空间,该第3空间用于使从上述第1分离气体供给部供给的上述第1分离气体流向上述第1空间和上述第2空间,
该成膜装置还包括真空容器保护部,为了保护上述真空容器免受上述第1反应气体和上述第2反应气体的腐蚀,该真空容器保护部与上述保护顶板一起被设置成围绕上述旋转台、上述第1空间、上述第2空间以及上述第3空间;
上述保护顶板的下表面具有中心部区域,该中心部区域在上述旋转台的旋转中心的上述基板载置部侧设有供给用于将上述第1反应气体和上述第2反应气体分离的第2分离气体的第2分离气体供给部,
该成膜装置还包括排气口,用于将上述第1反应气体和上述第2反应气体与喷出到上述第3空间的两侧的上述第1分离气体和从上述中心部区域喷出的上述第2分离气体一起排出。
2.根据权利要求1所述的成膜装置,其中,
上述保护顶板和上述真空容器保护部由石英或陶瓷构成。
3.根据权利要求1所述的成膜装置,其中,
在上述真空容器的顶板与上述保护顶板之间具有供给对上述真空容器进行耐腐蚀保护的第1保护气体的第1保护气体供给部。
4.根据权利要求3所述的成膜装置,其中,
在上述真空容器的底面与上述真空容器保护部之间具有供给用于对上述真空容器进行保护的第2保护气体的第2保护气体供给部。
5.根据权利要求1所述的成膜装置,其中,
在上述旋转台的旋转中心的下侧具有用于供给将上述第1反应气体和上述第2反应气体分离的第3分离气体的第3分离气体供给部。
6.根据权利要求1所述的成膜装置,其中,
包括:
支柱,其处于上述真空容器的中心部,并且被设置在上述保护顶板的下表面与上述真空容器的底面之间;
旋转套筒,其围着上述支柱,绕铅直轴线旋转自如,
其中,上述旋转套筒是上述旋转台的旋转轴。
7.根据权利要求1所述的成膜装置,其中,
被载置在上述基板载置部上的上述基板的表面处于与上述旋转台的表面相同的高度,或者上述基板的上述表面位于低于上述旋转台的上述表面的位置上。
8.根据权利要求1所述的成膜装置,其中,
用于分别向上述第1反应气体供给部、上述第2反应气体供给部以及上述第1分离气体供给部导入气体的气体导入件被设置在上述旋转台的旋转中心侧或周缘侧。
9.根据权利要求1所述的成膜装置,其中,
在上述第1分离气体供给部从上述旋转台的旋转中心侧朝向周缘侧地排列有喷出孔。
10.根据权利要求1所述的成膜装置,其中,
具有第1排气口和第2排气口,该第1排气口和第2排气口位于上述真空容器的底面的周缘,并且分别被设置在上述第1空间和上述第2空间附近。
11.根据权利要求1所述的成膜装置,其中,
上述第3空间的压力高于上述第1空间的压力和上述第2空间的压力。
12.一种基板处理装置,其具有:
权利要求1所述的成膜装置;
真空输送室,其被气密地与上述成膜装置连接,在内部设有基板输送部;
预备真空室,其被气密地与上述真空输送室连接,能够在真空气氛与大气气氛之间切换气氛。
13.一种成膜方法,在由用于对真空容器进行耐腐蚀保护的真空容器保护部围绕的空间中按顺序供给包括第1反应气体和第2反应气体的至少两种原料气体,并且通过执行按顺序供给上述至少两种上述原料气体的循环来将上述第1反应气体和上述第2反应气体分开地供给到基板上,同时形成薄膜,该成膜方法进行以下步骤来进行成膜:
将基板载置在上述真空容器内的旋转台上;
使上述旋转台旋转;
从上述第1反应气体供给部将上述第1反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的顶板之间的第1空间;
从被设置在沿着上述旋转台的旋转方向的与上述第1反应气体供给部不同的位置上的第2反应气体供给部将上述第2反应气体供给到被形成在上述旋转台上表面与上述真空容器保护部的上述顶板之间的第2空间;
从被设置在上述第1反应气体供给部与上述第2反应气体供给部之间的第1分离气体供给部将上述第1分离气体供给到第3空间,该第3空间被形成在上述旋转台上表面与上述真空容器保护部的上述顶板的下表面所具有的第3下表面区域之间,即该第3空间低于上述第1空间和上述第2空间,上述第3下表面区域具有如下形状:距上述旋转台的旋转中心越是接近周缘,宽度越宽;
将用于分离上述第1反应气体和上述第2反应气体的第2分离气体供给到位于上述顶板的下表面的上述旋转台上侧的中心部区域;
通过使上述基板随着上述旋转台的旋转而移动来重复上述第1反应气体向上述基板的表面的供给、上述第1反应气体的停止、上述第2反应气体的供给和上述第2反应气体的停止,将上述第1反应气体和上述第2反应气体与上述第1分离气体和上述第2分离气体一起排出。
14.根据权利要求13所述的成膜方法,其中,
上述成膜方法如下这样进行:在作为上述真空容器保护部与上述真空容器之间的空间的保护空间使对上述真空容器进行耐腐蚀保护的第1保护气体流通,使上述保护空间的压力高于由上述真空容器保护部围绕的空间的压力。
15.根据权利要求13所述的成膜方法,其中,
上述成膜方法如下这样进行:在供给上述第1反应气体时,使上述旋转台上侧的供给上述第1反应气体的区域的一部分、即包括上述第1反应气体供给部的部分中的从上述旋转台上表面到上述真空容器保护部的上述顶板的高度低于供给上述第1反应气体的区域的其它部分中的从上述旋转台上表面到上述真空容器保护部的上述顶板的高度。
16.根据权利要求13所述的成膜方法,其中,
上述成膜方法如下这样进行:在供给上述第2反应气体时,使上述旋转台上侧的供给上述第2反应气体的区域的一部分、即包括上述第2反应气体供给部的部分中的从上述旋转台上表面到上述真空容器保护部的上述顶板的高度低于供给上述第2反应气体的区域的其它部分中的从上述旋转台上表面到上述真空容器保护部的上述顶板的高度。
17.根据权利要求13所述的成膜方法,其中,
一边对上述旋转台加热一边进行成膜。
18.根据权利要求13所述的成膜方法,其中,
使第2保护气体在上述真空容器保护部与上述真空容器的底面之间流通。
CN2009101721181A 2008-09-04 2009-09-04 成膜装置、基板处理装置及成膜方法 Active CN101665921B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008227024A JP5107185B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2008227024 2008-09-04
JP2008-227024 2008-09-04

Publications (2)

Publication Number Publication Date
CN101665921A CN101665921A (zh) 2010-03-10
CN101665921B true CN101665921B (zh) 2013-05-22

Family

ID=41725831

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101721181A Active CN101665921B (zh) 2008-09-04 2009-09-04 成膜装置、基板处理装置及成膜方法

Country Status (5)

Country Link
US (1) US9267204B2 (zh)
JP (1) JP5107185B2 (zh)
KR (1) KR101596045B1 (zh)
CN (1) CN101665921B (zh)
TW (1) TWI512133B (zh)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US20120231615A1 (en) * 2010-03-15 2012-09-13 Sumitomo Electric Industries, Ltd. Semiconductor thin-film manufacturing method, semiconductor thin-film manufacturing apparatus, susceptor, and susceptor holder
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2012237026A (ja) * 2011-05-10 2012-12-06 Tokyo Electron Ltd 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6095172B2 (ja) * 2012-03-30 2017-03-15 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6058515B2 (ja) * 2013-10-04 2017-01-11 漢民科技股▲分▼有限公司 気相成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
CN112053991B (zh) * 2014-05-21 2022-04-15 应用材料公司 热处理基座
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10096495B2 (en) * 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
US9920427B2 (en) 2015-02-02 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10837106B2 (en) * 2015-05-12 2020-11-17 Corner Star Limited Clamping assembly for a reactor system
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978818A (zh) * 2016-06-03 2023-10-31 应用材料公司 扩散腔室内部的气流的设计
KR102483547B1 (ko) 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110767567B (zh) * 2018-07-25 2022-03-22 北京北方华创微电子装备有限公司 反应腔室和半导体热处理设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
WO2021081221A1 (en) * 2019-10-22 2021-04-29 Purplesun Inc. Automated deposition of microfilms, systems and methods
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
CN1446373A (zh) * 2000-08-11 2003-10-01 东京毅力科创株式会社 基片处理装置及处理方法
CN1798863A (zh) * 2003-06-04 2006-07-05 东京毅力科创株式会社 用于处理***的自适用处理元件和其制造方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) * 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
JP3507614B2 (ja) * 1996-04-04 2004-03-15 株式会社ルネサステクノロジ 薄膜成膜装置
US6340501B1 (en) 1997-05-08 2002-01-22 Matsushita Electric Industrial Co., Ltd. Device and method for manufacturing an optical recording medium
JP3480280B2 (ja) * 1997-10-28 2003-12-15 東京エレクトロン株式会社 縦型処理装置
KR100253089B1 (ko) 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5906354A (en) 1998-01-12 1999-05-25 Sigma Scientific Technology, Inc. Ball valve for lethal gas or fluid service
US5849088A (en) 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
JP2000117039A (ja) * 1998-10-15 2000-04-25 Toshiba Corp 気体分離装置
JP4286981B2 (ja) * 1999-07-22 2009-07-01 東京エレクトロン株式会社 枚葉式熱処理装置
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR101208295B1 (ko) 2004-12-28 2012-12-05 도쿄엘렉트론가부시키가이샤 반도체 제조 장치, 당해 반도체 제조 장치에 있어서의 이상을 검출하는 방법, 및 당해 방법을 실시하기 위한 컴퓨터 프로그램을 기록한 기억 매체
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US8465592B2 (en) 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5276388B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253933B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010084230A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5062144B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5287592B2 (ja) 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5327147B2 (ja) 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5524139B2 (ja) 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5579009B2 (ja) 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5823922B2 (ja) 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP6101083B2 (ja) 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6114708B2 (ja) 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6118197B2 (ja) 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6114668B2 (ja) 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6204213B2 (ja) 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
CN1446373A (zh) * 2000-08-11 2003-10-01 东京毅力科创株式会社 基片处理装置及处理方法
CN1798863A (zh) * 2003-06-04 2006-07-05 东京毅力科创株式会社 用于处理***的自适用处理元件和其制造方法

Also Published As

Publication number Publication date
TWI512133B (zh) 2015-12-11
KR101596045B1 (ko) 2016-02-19
US20100055316A1 (en) 2010-03-04
KR20100028498A (ko) 2010-03-12
JP5107185B2 (ja) 2012-12-26
TW201024450A (en) 2010-07-01
US9267204B2 (en) 2016-02-23
JP2010059495A (ja) 2010-03-18
CN101665921A (zh) 2010-03-10

Similar Documents

Publication Publication Date Title
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
CN101665927B (zh) 成膜装置、基板处理装置及成膜方法
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN101831632B (zh) 成膜装置
CN101736319B (zh) 气体注入装置及成膜装置
CN102953047B (zh) 成膜装置
CN101736318A (zh) 成膜装置
CN101748391B (zh) 成膜装置和成膜方法
CN101748387B (zh) 成膜装置
CN101736320B (zh) 成膜装置和成膜装置的清洁方法
CN101660140B (zh) 成膜装置及成膜方法、基板处理装置
CN101665926B (zh) 将多种反应气体依次向基板供给的成膜装置
KR101373946B1 (ko) 성막 장치
CN102134709A (zh) 成膜装置
CN102108496A (zh) 成膜装置及成膜方法
CN102086515A (zh) 基板处理装置
CN101748388A (zh) 成膜装置
JP2011135003A (ja) 成膜装置及び成膜方法
CN102888595B (zh) 成膜装置及基板处理装置
CN101660141B (zh) 成膜装置及基板处理装置
CN103014671A (zh) 成膜装置和基板处理装置
KR20120033266A (ko) 성막 장치 및 성막 방법
CN101665923A (zh) 成膜装置、基板处理装置及成膜方法
CN112626498A (zh) 成膜装置和成膜方法
CN101665920A (zh) 成膜装置、基板处理装置及旋转台

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant