TWI705134B - 無胺之化學機械研磨後(post cmp)組成物及其使用方法 - Google Patents

無胺之化學機械研磨後(post cmp)組成物及其使用方法 Download PDF

Info

Publication number
TWI705134B
TWI705134B TW104103872A TW104103872A TWI705134B TW I705134 B TWI705134 B TW I705134B TW 104103872 A TW104103872 A TW 104103872A TW 104103872 A TW104103872 A TW 104103872A TW I705134 B TWI705134 B TW I705134B
Authority
TW
Taiwan
Prior art keywords
acid
ether
composition
ammonium
glycol
Prior art date
Application number
TW104103872A
Other languages
English (en)
Other versions
TW201542811A (zh
Inventor
俊 劉
伊麗莎白 湯瑪斯
唐納德 弗萊
Original Assignee
恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201542811A publication Critical patent/TW201542811A/zh
Application granted granted Critical
Publication of TWI705134B publication Critical patent/TWI705134B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/12Carbonates bicarbonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/16Phosphates including polyphosphates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

本發明係關於一種用於自其上具有化學機械研磨(CMP)後殘留物及污染物之微電子裝置清除該等殘留物及污染物之不含胺的組成物及方法。該等組成物達成CMP後殘留物及污染物材料自微電子裝置表面之高度有效的清除,而不會損壞低k介電材料、銅互連材料、或含鈷材料。

Description

無胺之化學機械研磨後(POST CMP)組成物及其使用方法
本發明係關於用於自其上具有殘留物及/或污染物之微電子裝置實質且有效率地清除該等物質之不含胺之組成物。
熟知積體電路(IC)製造商已針對先進微電子應用以銅取代鋁及鋁合金,因銅具有較高的傳導性,其等同於互連效能的顯著改良。此外,基於銅之互連體提供較鋁佳之電遷移抗性(electromigration resistance),因而改良互連可靠性。亦即,銅之實施面臨特定的挑戰。舉例來說,銅(Cu)對二氧化矽(SiO2)及對其他介電材料之黏著力一般不佳。不良的黏著會導致Cu於製程期間自鄰接薄膜脫層。此外,Cu離子易於電偏壓下擴散至SiO2中,且即使係在介電質內之極低Cu濃度下亦會增加Cu線間的介電漏電。此外,如銅擴散至主動裝置所處的下層矽中,則裝置效能會退化。
銅於二氧化矽(SiO2)及於其他金屬間介電質(IMD)/層間介電質(ILD)中之高擴散性的問題仍保持極度相關。為處理此問題,必需將積體電路基板塗布適當的阻障層,其囊封銅及阻止銅原子之擴散。通常將包含傳導性及非傳導性材料兩者之阻障層形成於圖案化介電層上,隨後再沉積銅。阻障層之典型材料包括鉭(Ta)、氮化鉭(TaNx)、鎢(W)、鈦(Ti)、氮化鈦(TiN)、釕(Ru)、鈷(Co)、鉬 (Mo)、錸(Rh)、及其合金。
在深次微米半導體之製造中,使用銅鑲嵌製程來在低k介電層中形成傳導銅線及通孔。鑲嵌製程的一重要步驟係銅化學機械研磨(CMP)以移除在介電層表面上方的過剩銅。CMP製程涉及在CMP漿液之存在下在受控壓力及溫度下使半導體裝置之薄且平坦的基板抵靠經潤濕的研磨墊固持且旋轉。該等漿液包含研磨材料及適用於特定CMP製程及需求的化學添加劑。在CMP製程後,由來自研磨漿液之顆粒、添加至漿液之化學物質、及研磨漿液之反應副產物組成之污染物殘留於晶圓表面上。必需在微電子裝置製程中之任何進一步步驟之前將所有污染物移除,以避免裝置可靠性退化及將瑕疵引入至裝置中。此等污染物之顆粒通常小於0.3微米。
在此方面的一特定問題係在CMP加工後殘留於微電子裝置基板上之殘留物。此等殘留物包括CMP材料及腐蝕抑制劑化合物諸如苯并***(BTA)。若未移除,則此等殘留物會導致損壞銅線或使銅金屬化嚴重變粗糙,以及導致CMP後塗覆層於裝置基板上之不良黏著。銅金屬化之嚴重粗糙化尤其成為問題,因過度粗糙的銅會導致產物微電子裝置之不良電效能。為此,已發展出CMP後移除組成物來移除CMP後殘留物及污染物。
習知之清潔技術使用清潔溶液(例如,基於氫氧化銨之鹼性溶液)於晶圓表面上之流體流動組合超音波振盪、噴射或刷拂來移除污染物。該等清潔溶液藉由在自晶圓移除脫落的污染物之前侵蝕晶圓表面或與污染物反應來移除污染物。一些污染物可能不利地對清潔溶液中之化學成分呈化學惰性。此外,技藝中已知之含胺清潔溶液有臭味且會釋放會破壞光阻劑的胺蒸氣至廠中。
提供用於微電子裝置之CMP後清潔、用於自該裝置之表面實質上無瑕疵且實質上無刮痕地移除CMP殘留物及污染物之改良的不含胺之組成物在技藝中將係一項顯著進步。該等水性組成物達成自裝置表面實質的殘留物及污染物移除,而不會損壞經暴露的低k介電材料及互連及通孔材料(例如,含銅及/或鋁之材料)。
本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清除該等殘留物及污染物之不含胺的組成物及方法。該殘留物可包括CMP後殘留物。
在一態樣中,描述一種用來自表面清除殘留物及污染物之組成物,該組成物包括至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、水、視需要之至少一種氧化劑、視需要之至少一種還原劑、及視需要之至少一種溶劑合劑,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料。
在另一態樣中,描述一種自其上具有殘留物及污染物之微電子裝置清除該等殘留物及污染物之方法,該方法包括使微電子裝置與組成物接觸足以自微電子裝置至少部分地清除該等殘留物及污染物之時間,其中該組成物包括至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、水、視需要之至少一種氧化劑、視需要之至少一種還原劑、及視需要之至少一種溶劑合劑,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料。
本發明之其他態樣、特徵及優點將可由隨後之揭示內 容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於自其上具有CMP後殘留物及污染物之微電子裝置清除該等殘留物及污染物之不含胺的組成物。該等清潔組成物可與經暴露的材料相容,同時自微電子裝置之表面實質上地移除該等CMP後殘留物及污染物。
為容易參考起見,「微電子裝置」係對應於被製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能基板、光伏打元件、及微機電系統(MEMS)的其他產品。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板。
如本文所用之「殘留物」係相當於在微電子裝置之製造期間(包括,但不限於,電漿蝕刻、灰化、化學機械研磨、濕式蝕刻、及其組合)產生的顆粒。
如本文所用之「污染物」係相當於存在於CMP漿液中之化學物質,例如,苯并***(BTA)、研磨漿液之反應副產物、存在於濕式蝕刻組成物中之化學物質、濕式蝕刻組成物之反應副產物、及任何其他作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的材料。
如本文所用之「CMP後殘留物」係相當於來自研磨漿液之顆粒(例如,含矽石顆粒)、存在於漿液中之化學物質、研磨 漿液之反應副產物、富碳顆粒、研磨墊顆粒、刷的卸載顆粒、設備的構造材料顆粒、銅、銅之氧化物、有機殘留物、及任何其他作為CMP製程之副產物的物質。
如本文所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、摻碳氧化物(CDO)玻璃、購自Novellus Systems,Inc.之CORALTM、購自Applied Materials,Inc.之BLACK DIAMONDTM、購自Dow Corning,Inc.之SiLKTM、及Nanopore,Inc.之NANOGLASSTM、及其類似物。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義之「不含胺的清潔組成物」係相當於僅在與其上具有CMP後殘留物及/或污染物之微電子裝置接觸之前不含胺的組成物。
如本文所定義,「錯合劑」包括熟悉技藝人士理解為錯合劑、鉗合劑及/或錯隔劑的該等化合物。錯合劑將與待使用本發明之組成物移除的金屬原子及/或金屬離子化學結合或以物理方式將其固持住。
如本文所定義,術語「阻障材料」係相當於任何在技藝中用來密封金屬線(例如,銅互連體),以使該金屬(例如,銅)之擴散至介電材料中減至最小的材料。習知的阻障層材料包括鉭或鈦、其氮化物及矽化物、及其合金。可用作可直接電鍍擴散阻障之候選材料包括釕(Ru)、鈷(Co)、鎢(W)、鉬(Mo)、錸(Rh)、及其合金, 包括,但不限於,純鈷、CoWP、CoWB、鈷之氮化物(包括含有諸如Ta或Li之額外元素的鈷之氮化物)、CoW、CoP、CoSi、及矽化鈷。
如本文所使用之「約」係意指相當於所述值之±5%。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,再更佳小於0.1重量%,及最佳0重量%。
如本文所定義,「蝕刻後殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)後殘留的材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(包括,但不限於,氧及氟)。文中所使用之「灰化後殘留物」係相當於在用來移除硬化光阻劑及/或底部抗反射塗層(BARC)材料之氧化或還原電漿灰化後殘留的材料。灰化後殘留物之性質可為有機、有機金屬、有機矽、或無機。
熟悉技藝人士當明瞭當組成物為水性時,氫氧化銨(NH4OH)可與氨(NH3)交替使用。
關於本發明,「胺」係定義為至少一種一級、二級、或三級胺,其限制條件為(i)醯胺基、(ii)同時包括羧酸基及胺基之物質(例如,胺基酸)、(iii)氨、(iv)包括胺基之界面活性劑、及(v)胺-N-氧化物不被視為根據此定義之「胺」。胺之化學式可以NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直鏈或分支鏈C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合所組成之群,其限制 條件為R1、R2及R3不可皆為氫。氫氧化四級銨化合物具有通式R1R2R3R4NOH,其中R1、R2、R3及R4係彼此相同或不同且係氫、C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基或己基)、及經取代或未經取代之C6-C10芳基(例如,苄基);及烷醇胺。
如本文所用,「適用」於自其上具有殘留物及污染物之微電子裝置清除該等殘留物及污染物係相當於自該微電子裝置至少部分移除該等殘留物/污染物。清潔效力係藉由在微電子裝置上的物體減少來評定。舉例來說,可使用原子力顯微鏡來進行清潔前及清潔後分析。可將樣品上之顆粒登錄為一像數範圍。可應用直方圖(例如,Sigma Scan Pro)來過濾特定強度(例如,231-235)中之像素,且計算顆粒數目。顆粒減少可使用下式來計算:
Figure 104103872-A0101-12-0007-1
值得注意地,清潔效力之測定方法僅係提供作為實例,而不意欲對其造成限制。或者,可將清潔效力視為經顆粒物質覆蓋之總表面的百分比。舉例來說,AFM可經程式化以執行z平面掃描,來識別高於一特定高度臨限值之相關形貌面積,然後再計算經該相關面積覆蓋之總表面面積。熟悉技藝人士當可輕易明瞭在清潔後經該相關面積覆蓋的面積愈小,清潔組成物就愈有效。較佳地,使用文中所述之組成物自微電子裝置移除至少75%之殘留物/污染物,更佳至少90%,再更佳至少95%,及最佳移除至少99%之殘留物/污染物。
本發明之組成物可以如更完整說明於下文之相當多樣的特定配方具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,本發明係關於一種用於清除CMP後殘留物及污染物之不含胺之組成物,該不含胺之組成物包括至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。視情況地,該不含胺之組成物可進一步包括至少一種氧化劑、至少一種還原劑、至少一種溶劑合劑、或其組合。該不含胺之組成物之濃縮物中的組分係基於組成物之總重量以以下之重量百分比範圍存在:
Figure 104103872-A0101-12-0008-2
如熟悉技藝人士所可輕易明瞭,當經稀釋時,不含胺之濃縮組成物中的組分之重量百分比值將隨稀釋倍數而改變。
在一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。在另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種還原 劑、至少一種溶劑合劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。在又另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種溶劑合劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、至少一種還原劑、及水。在又另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。在另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、至少一種溶劑合劑、及水。該水較佳係去離子水。應明瞭該至少一種氧化劑當意欲存在時,可於清潔裝置的上游不遠處或於清潔裝置處添加。
在本發明之寬廣實務中,不含胺之組成物的pH範圍係在約2.5至約13之範圍內。視待清潔表面之性質而定,有時稍微酸性的不含胺之組成物將較佳,例如在約2.5至7之範圍內,及其他時候稍微鹼性的不含胺之組成物將較佳,例如在7至約13之範圍內。
文中所述之組成物可有用於包括,但不限於,下列應用中:蝕刻後殘留物移除、灰化後殘留物移除表面製備、電鍍後清潔、CMP後殘留物移除、銅晶種蝕刻/清潔、穿透矽通孔(through silicon via;TSV)清潔、MEMS清潔、污染物移除(例如,苯并***移除)、及鈷及鈷合金表面清潔。
涵蓋的鹼性化合物包括鹼金屬氫氧化物、氫氧化銨、及其組合,其中鹼金屬氫氧化物包括KOH、CsOH、及其組合。較 佳地,用於不含胺之組成物之鹼性化合物包含KOH。
文中涵蓋的錯合劑包括有機酸,於其鹽中包含至少一個COOH基團或羧酸根基團,包括,但不限於,乳酸、順丁烯二酸、抗壞血酸、蘋果酸、檸檬酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、酞酸、天冬胺酸、麩胺酸、戊二酸、羥乙酸、乙醛酸、甘油、乙醯丙酮、柳羥肟酸、其鹽、或其之部分中和形式,苯乙酸、奎尼酸(quinic acid)、1,2,4,5-苯四甲酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、葡萄糖酸、甘油酸、甲酸、乙酸、丙酸、丙烯酸、己二酸、衣康酸、葡萄糖醛酸、甘胺酸、離胺酸、β-丙胺酸、組胺酸、苯基丙胺酸、半胱胺酸、白胺酸、絲胺酸、精胺酸、蘇胺酸、天冬醯胺酸、麩胺醯胺、硒半胱胺酸、脯胺酸、纈胺酸、異白胺酸、甲硫胺酸、酪胺酸、色胺酸、8-羥基喹啉、2,4-戊二酮、苯四羧酸、丙酮酸、單寧酸、對胺苯磺酸、2-羥基膦醯羧酸(HPAA)、鄰苯二酚、五倍子酚、五倍子酸、單寧酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、亞胺二乙酸、2-膦醯丁烷-1,2,4-三羧酸(PBTCA)、其他脂族及芳族羧酸、其鹽以及前述酸之組合。可作為替代物或附加物涵蓋的其他錯合劑包括膦酸及其衍生物(例如,羥基亞乙基二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基叁(亞甲基膦酸)、乙二胺四(亞甲基膦酸)(EDTMP))、水楊酸、對甲苯磺酸、5-磺基水楊酸及其衍生物、及其任何組合。較佳地,用於不含胺之組成物之錯合劑包含5-磺基水楊酸、CDTA、EDTMP、及其任何組合。一些錯合劑可有利地使包含至少一種氧化劑之不含胺之組成物穩定。舉例來說,僅添加約0.001重量%至約0.1重量% CDTA 或EDTMP至包含氧化劑(例如,尿素過氧化氫)之不含胺之組成物將使該組成物穩定,實質上降低氧化劑隨時間之分解。此外,如稍後將論述,包含胺基酸錯合劑(且具有或不具有額外錯合劑)可增進有機污染物(例如,來自CMP後表面之苯并***)之移除。
緩衝劑係添加以在稀釋及製造期間穩定不含胺之組成物以及達成適當的組成物pH值,其係如熟悉技藝人士所可輕易決定。涵蓋的緩衝劑包括,但不限於,磷酸二鉀、碳酸鉀、硼酸、離胺酸、脯胺酸、β-丙胺酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、二甲基乙二肟(dimethyl glyoxime)、二鹼式磷酸鹽(例如,(NH4)H2PO4、K2HPO4)、三鹼式磷酸鹽(例如,(NH4)3PO4、K3PO4)、二鹼式及三鹼式磷酸鹽之混合物(例如,K2HPO4/K3PO4)、二鹼式及三鹼式碳酸鹽之混合物(例如,K2CO3/KHCO3)、羥基亞乙基二膦酸(HEDP)、及其組合。較佳的緩衝劑包括二鹼式磷酸鹽(例如,(NH4)H2PO4、K2HPO4)、三鹼式磷酸鹽(例如,(NH4)3PO4、K3PO4)、二鹼式及三鹼式磷酸鹽之混合物(例如,K2HPO4/K3PO4)、及其組合。
當存在時,涵蓋的氧化劑包括臭氧、硝酸、鼓泡空氣、環己胺基磺酸、過氧化氫(H2O2)、FeCl3(包括水合及未水合)、發氧方(oxone)(2KHSO5˙KHSO4˙K2SO4)、多原子銨鹽(例如,過氧單硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、過硼酸鈉(NaBO3)、多原子鈉鹽(例如,過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO))、多原子鉀鹽(例如,碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、過硫酸鉀 (K2S2O8)、次氯酸鉀(KClO))、多原子四甲銨鹽(例如,亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過碘酸四甲銨((N(CH3)4)IO4)、過硫酸四甲銨((N(CH3)4)S2O8))、多原子四丁銨鹽(例如,過氧單硫酸四丁銨)、過氧單硫酸、硝酸鐵(Fe(NO3)3)、胺-N-氧化物(例如,N-甲基
Figure 104103872-A0101-12-0012-6
啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基
Figure 104103872-A0101-12-0012-7
啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、過碘酸、重鉻酸鉀、氯酸鉀、2-硝基酚、1,4-苯醌、過氧苯甲酸、過氧酞酸鹽、釩之氧化物(例如,VO2、V6O13)、偏釩酸銨、鎢酸銨、硝酸鈉、硝酸鉀、硝酸銨、硝酸鍶、硫酸、及其組合。較佳地,用於不含胺之組成物之氧化劑包含過氧化氫、NMMO、尿素過氧化氫、及其組合。
當存在時,涵蓋的溶劑合劑包括,但不限於,2-吡咯啶酮、1-(2-羥乙基)-2-吡咯啶酮、甘油、1,4-丁二醇、四亞甲碸(四氫噻吩碸)、二甲碸、乙二醇、丙二醇、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單***、三甘醇單***、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(即丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、四甘醇二甲基醚、及其組 合。較佳地,當存在時,用於不含胺之組成物的溶劑合劑包括四氫噻吩碸(四亞甲碸)、1-(2-羥乙基)-2-吡咯啶酮、及其組合。
當存在時,涵蓋的還原劑包括,但不限於,抗壞血酸、甘胺酸、五倍子酸、亞硫酸氫鉀、N-乙醯基甘胺酸、四水合四硼酸鉀、甘油、乙醯丙酮、山梨糖醇、山梨酸鹽、山梨酸、及其組合。添加還原劑以較佳地抑制鈷腐蝕。
文中所述之不含胺之組成物較佳實質上不含通常用於化學機械研磨製程中之研磨材料(在開始清潔之前)、含氟化物來源、胺、四級鹼、及其任何組合。四級鹼包括具有式NR1R2R3R4OH及/或R1R2R3R4POH之化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由下列所組成之群:氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基),其限制條件為R1、R2、R3或R4中之至少一者需為除氫外之組分。
在一較佳具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:(i)包含選自由過氧化氫、尿素過氧化氫、NMMO、及其組合所組成之群之物質之至少一種氧化劑,(ii)包含KOH之至少一種鹼性化合物,(iii)包含二鹼式磷酸鹽之至少一種緩衝劑,(iv)包含選自由5-磺基水楊酸及其衍生物、CDTA、EDTMP、胺基酸、及其組合所組成之群之物質之至少一種錯合劑,(v)及水,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料,其中該pH係在約7至約13之範圍內。在另一較佳具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:(i)包含選自由 過氧化氫、尿素過氧化氫、NMMO、及其組合所組成之群之物質之至少一種氧化劑,(ii)包含KOH之至少一種鹼性化合物,(iii)選自由四氫噻吩碸、1-(2-羥乙基)-2-吡咯啶酮、及其組合所組成之群之至少一種溶劑合劑,(iv)包含二鹼式磷酸鹽之至少一種緩衝劑,(v)包含選自由5-磺基水楊酸及其衍生物、CDTA、EDTMP、胺基酸、及其組合所組成之群之物質之至少一種錯合劑,(iv)及水,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料,其中該pH係在約7至約13之範圍內。在又另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:(i)包含KOH之至少一種鹼性化合物,(ii)選自由四氫噻吩碸、1-(2-羥乙基)-2-吡咯啶酮、及其組合所組成之群之至少一種溶劑合劑,(iii)包含二鹼式磷酸鹽之至少一種緩衝劑,(iv)包含選自由5-磺基水楊酸及其衍生物、CDTA、EDTMP、胺基酸、及其組合所組成之群之物質之至少一種錯合劑,(v)及水,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料,其中該pH係在約7至約13之範圍內。在又另一具體例中,不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:(i)包含KOH之至少一種鹼性化合物,(ii)選自由四氫噻吩碸、1-(2-羥乙基)-2-吡咯啶酮、及其組合所組成之群之至少一種溶劑合劑,(iii)包含二鹼式磷酸鹽之至少一種緩衝劑,(iv)包含選自由5-磺基水楊酸及其衍生物、CDTA、EDTMP、胺基酸、及其組合所組成之群之物質之至少一種錯合劑,(v)至少一種還原劑,(vi)及水,其中該組成物實質上不含胺、四級鹼、含氟化物來源、及通常用於化學機械研磨製程中之研磨材料,其中該pH 係在約7至約13之範圍內。較佳地,該不含胺之組成物具有小於5埃/分鐘(Å min-1)之銅蝕刻速率,小於5埃/分鐘之鈷蝕刻速率,及大於20%、更佳大於30%、及最佳大於40%之BTA移除效率。
在第一態樣之一具體例中,提供一種可經稀釋用作清潔溶液之濃縮的不含胺之組成物。濃縮組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)在使用點將濃縮物稀釋至期望濃度及酸度。濃縮的不含胺之組成物之稀釋可在約1:1至約2500:1之範圍內,其中不含胺之組成物係在機台處或機台之前方才用溶劑(例如,去離子水)稀釋。
文中所述之不含胺之組成物的一重要特徵係非水性成分(除水外之成分)係少量地存在於組成物中,通常少於約20重量%。此具經濟優勢,因可更經濟地調配有效的不含胺之組成物,由於不含胺之CMP後組成物係被大量地使用,因此此點極具重要性。再者,由於不含胺之組成物係為水性,因此文中所述之不含胺之組成物更容易處置。值得注意地,不含胺之組成物之壽命僅取決於顆粒負載量,因此,不含胺之組成物係可回收。
在又另一較佳具體例中,文中所述不含胺之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、水、視需要之至少一種氧化劑、視需要之至少一種還原劑、視需要之至少一種溶劑合劑、殘留物及/或污染物。應注意,該等殘留物及污染物可溶解及/或懸浮於文中所述不含胺之組成物中。較佳地,該殘留物包括CMP後殘留物。
文中所述不含胺之組成物係經由簡單地添加各別成 分及混合至均勻狀態而容易地調配得。此外,可輕易地將不含胺之組成物調配為單一包裝調配物或在使用點處或使用點前混合的多份調配物,例如,可將多份調配物之個別份於機台處或於機台上游之儲槽中混合。在本發明之寬廣實務中,各別成分的濃度可在不含胺之組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭不含胺之組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本發明第一態樣之組成物的組分。套組較佳包括用於在工廠或使用點處與額外的水、視需要之氧化劑、或兩者結合之存於一或多個容器中之至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、水、視需要之至少一種還原劑、視需要之至少一種溶劑合劑。套組之容器必需適於儲存及運送該第一組成物組分,例如,NOWPak®容器(先進科技材料公司,丹伯里市,康州,美國)。
在第三態樣中,不含胺之組成物可有效用於自微電子裝置之表面清除CMP後殘留物及污染物。不含胺之組成物應不會損壞低k介電材料或實質上腐蝕裝置表面上的金屬互連體(例如,銅)。較佳地,不含胺之組成物移除在殘留物移除前存在於裝置上之殘留物及污染物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
在CMP後殘留物及污染物清潔應用中,不含胺之組成物可配合相當多樣之習知清潔工具諸如超音波震盪(megasonics)及刷洗使用,其包括,但不限於,Verteq單晶圓超音波震盪 Goldfinger、OnTrak系統DDS(雙面洗滌器)、SEZ單晶圓噴霧洗滌、Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM、及Megasonic批式濕式台面系統。
在使用不含胺之組成物於自其上具有CMP後殘留物及污染物之微電子裝置清除該等物質時,通常使不含胺之組成物與裝置在約20℃至約50℃範圍內之溫度下接觸約5秒至約10分鐘之時間(較佳約15秒至5分鐘)。此等接觸時間及溫度係為說明性,可採用任何其他可有效於自裝置至少部分地清除CMP後殘留物/污染物的適當時間及溫度條件。「至少部分地清除」及「實質移除」皆係相當於移除在殘留物移除前存在於裝置上之殘留物及污染物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
於達成期望的清潔作用後,可輕易地將不含胺之組成物自其先前經施用的裝置移除,此可能係在本發明組成物之指定最終應用中所需且有效。沖洗溶液較佳包括去離子水。其後可使用氮氣或旋轉乾燥循環乾燥裝置。
又另一態樣係關於根據本文所述方法製得之改良的微電子裝置,及含有該等微電子裝置之產品。
另一態樣係關於一種經再循環的不含胺之組成物。該不含胺之組成物可經再利用直至殘留物及/或污染物載入量達到各別組成物所可容納之最大量為止,此係可由熟悉技藝人士輕易地決定。
又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與不含胺之組成物接觸足夠的時間,以自其上具有CMP後殘留物及污染物之微電子裝置清除該等殘留 物及污染物,及將該微電子裝置併入至該物件中。
[實施例1]
組成物包含20-40重量% 1,2-羥乙基吡咯啶酮、2-12重量% HEDP、0.1-2重量%磷酸二鉀、使pH達到約10.5之2-10重量% KOH、其餘為水,及測得鈷之蝕刻速率為8.730埃/分鐘。製備具有5-磺基水楊酸替代HEDP之新穎組成物(所有其他成分相同),及鈷之蝕刻速率降至在pH 10.5下為3.80埃/分鐘及在pH 13下為0.59。可推論5-磺基水楊酸係較HEDP佳的鈷腐蝕抑制劑,且在較高pH值下甚至更有效。
[實施例2]
製備包括20-40重量% 1,2-羥乙基吡咯啶酮、2-7重量%二水合5-磺基水楊酸、1-8重量%磷酸二鉀、2-10重量% KOH、所指示之還原劑、其餘為水的組成物,及以埃/分鐘為單位測定銅及鈷之蝕刻速率。結果提供於表1。
Figure 104103872-A0101-12-0018-3
[實施例3]
使用額外的鹼性化合物將實施例1之配方A的pH提高至pH 13,及測定鈷之蝕刻速率。經發現鈷之蝕刻速率降低至0.59 ±0.11埃/分鐘。換言之,pH愈高,則針對該特定溶液的鈷蝕刻速率就愈低。
[實施例4]
製備包括20-40重量% 1,2-羥乙基吡咯啶酮、2-7重量%二水合5-磺基水楊酸、1-8重量%磷酸二鉀、2-10重量% KOH、1-6重量% N-乙醯基甘胺酸、視需要之1-10重量%精胺酸、其餘為水的移除組成物,及於將試樣在組成物中於25℃下浸泡5分鐘後,測定BTA自PVD銅試樣之移除。移除實驗包括下列步驟:將PVDCu試樣於1%檸檬酸中浸泡5分鐘,用DI水沖洗5秒,於0.1% BTA溶液中浸泡5分鐘,用DI水沖洗5秒,於移除組成物(有及無精胺酸)之30:1稀釋液中浸泡5分鐘,用DI水沖洗5秒,及氮氣乾燥步驟。使用FTIR測定清潔前及清潔後的BTA峰。經測得無精胺酸之組成物的移除效率為約6%,而有精胺酸之組成物的移除效率係約大於15%。
雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭前文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士當可基於文中之揭示內容明白其他的變化、修改及其他具體例。因此,本發明係應廣泛解釋為涵蓋在後文所述之申請專利範圍之精神及範疇內的所有此等變化、修改及替代具體例。

Claims (8)

  1. 一種用來從一表面清除化學機械研磨後殘留物及污染物之組成物,該組成物包括至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑及水,其中該組成物實質上不含胺、四級鹼及用於化學機械研磨製程中之研磨材料,且完全不含含氟化物來源;其中該至少一種鹼性化合物包括選自由KOH、CsOH、氫氧化銨、及其組合所組成之群之物質;其中該至少一種錯合劑包括選自由下列所組成之群之物質:乳酸、順丁烯二酸、抗壞血酸、蘋果酸、檸檬酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、酞酸、天冬胺酸、麩胺酸、戊二酸、羥乙酸、乙醛酸、甘油、乙醯丙酮、柳羥肟酸、其鹽、或其之部分中和形式,苯乙酸、奎尼酸(quinic acid)、1,2,4,5-苯四甲酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、葡萄糖酸、甘油酸、甲酸、乙酸、丙酸、丙烯酸、己二酸、衣康酸、葡萄糖醛酸、甘胺酸、離胺酸、β-丙胺酸、組胺酸、苯基丙胺酸、半胱胺酸、白胺酸、絲胺酸、精胺酸、蘇胺酸、天冬醯胺酸、麩胺醯胺、硒半胱胺酸、脯胺酸、纈胺酸、異白胺酸、甲硫胺酸、酪胺酸、色胺酸、8-羥基喹啉、2,4-戊二酮、苯四羧酸、丙酮酸、單寧酸、對胺苯磺酸、2-羥基膦醯羧酸(HPAA)、鄰苯二酚、五倍子酚、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、亞胺二乙酸、2-膦醯丁烷-1,2,4-三羧酸(PBTCA)、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基叁(亞甲基膦酸)、乙二胺四(亞甲基膦酸)(EDTMP)、 水楊酸、對甲苯磺酸及其衍生物、及其任何組合;且其中該至少一種緩衝劑包括選自由下列所組成之群之物質:磷酸二鉀、碳酸鉀、硼酸、離胺酸、脯胺酸、β-丙胺酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、二甲基乙二肟(dimethyl glyoxime)、二鹼式磷酸鹽、三鹼式磷酸鹽、二鹼式及三鹼式磷酸鹽之混合物、二鹼式及三鹼式碳酸鹽之混合物、羥基亞乙基二膦酸、及其組合。
  2. 如申請專利範圍第1項之組成物,其中,pH係在7至約13之範圍內。
  3. 如申請專利範圍第1項之組成物,其中,該至少一種錯合劑包括膦酸衍生物、5-磺基水楊酸或其衍生物、胺基酸、及其任何組合。
  4. 如申請專利範圍第1項之組成物,其包含至少一種氧化劑、至少一種還原劑、及至少一種溶劑合劑。
  5. 如申請專利範圍第1至4項中任一項之組成物,其包含該至少一種氧化劑,其中該至少一種氧化劑包括選自由下列所組成之群之物質:臭氧、硝酸、鼓泡空氣、環己胺基磺酸、過氧化氫、FeCl3、發氧方(oxone)(2KHSO5˙KHSO4˙K2SO4)、過氧單硫酸銨、亞氯酸銨、氯酸銨、碘酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、次氯酸銨、過硼酸鈉、過硫酸鈉、次氯酸鈉、碘酸鉀、過錳酸鉀、過硫酸鉀、次氯酸鉀、亞氯酸四甲銨、氯酸四甲銨、碘酸四甲銨、過硼酸四甲銨、過氯酸四甲銨、過碘酸四甲銨、過硫酸四甲銨、過氧單硫酸四丁銨、過氧單硫酸、硝酸鐵、N-甲基
    Figure 104103872-A0305-02-0023-1
    啉-N-氧化物、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基
    Figure 104103872-A0305-02-0023-2
    啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、尿 素過氧化氫、過乙酸、過碘酸、重鉻酸鉀、氯酸鉀、2-硝基酚、1,4-苯醌、過氧苯甲酸、過氧酞酸鹽、釩之氧化物、偏釩酸銨、鎢酸銨、硝酸鈉、硝酸鉀、硝酸銨、硝酸鍶、硫酸、及其組合。
  6. 如申請專利範圍第1至4項中任一項之組成物,其包含至少一種還原劑,其中該至少一種還原劑包括選自由下列所組成之群之物質:抗壞血酸、甘胺酸、五倍子酸、亞硫酸氫鉀、N-乙醯基甘胺酸、四水合四硼酸鉀、甘油、乙醯丙酮、山梨糖醇、山梨酸鹽、山梨酸、及其組合。
  7. 如申請專利範圍第1至4項中任一項之組成物,其進一步包含至少一種溶劑合劑,該溶劑合劑包括選自由下列所組成之群之物質:2-吡咯啶酮、1-(2-羥乙基)-2-吡咯啶酮、甘油、1,4-丁二醇、四亞甲碸(四氫噻吩碸)、二甲碸、乙二醇、丙二醇、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單***、三甘醇單***、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其組合。
  8. 一種自其上具有殘留物及污染物之微電子裝置清除該等殘留物及污染物之方法,該方法包括使該微電子裝置與申請專利範圍第1至7項中任一項之組成物接觸足以自該微電子裝置至少部分地清除該等殘留物及污染物之時間。
TW104103872A 2014-02-05 2015-02-05 無胺之化學機械研磨後(post cmp)組成物及其使用方法 TWI705134B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461936065P 2014-02-05 2014-02-05
US61/936,065 2014-02-05
US201461955278P 2014-03-19 2014-03-19
US61/955,278 2014-03-19

Publications (2)

Publication Number Publication Date
TW201542811A TW201542811A (zh) 2015-11-16
TWI705134B true TWI705134B (zh) 2020-09-21

Family

ID=53778365

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104103872A TWI705134B (zh) 2014-02-05 2015-02-05 無胺之化學機械研磨後(post cmp)組成物及其使用方法

Country Status (3)

Country Link
US (1) US11127587B2 (zh)
TW (1) TWI705134B (zh)
WO (1) WO2015119925A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN107148664B (zh) * 2014-11-13 2020-12-08 三菱瓦斯化学株式会社 用于清洗半导体元件的包含碱土金属的清洗液、和使用其的半导体元件的清洗方法
KR102463863B1 (ko) * 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
RU2729485C1 (ru) * 2016-08-24 2020-08-07 Ппг Индастриз Огайо, Инк. Железосодержащая композиция очистителя
US11317632B2 (en) * 2017-01-13 2022-05-03 Pharmocon Llc Materials amd methods for buffering active chlorine solutions
KR20210090294A (ko) 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
CN110431210B (zh) * 2017-03-23 2022-09-20 福吉米株式会社 研磨用组合物
CN106925352B (zh) * 2017-04-05 2019-07-19 天津万峰环保科技有限公司 用于去除污水痕量污染物的催化剂制备方法和臭氧催化高级氧化去除污水痕量污染物的方法
US10312073B2 (en) * 2017-04-28 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective removal of carbon-containing and nitrogen-containing silicon residues
AT519894A1 (de) * 2017-04-29 2018-11-15 Thonhauser Gmbh Reinigungsverfahren
AT519943A1 (de) * 2017-04-29 2018-11-15 Thonhauser Gmbh Zusammensetzung
US10961487B2 (en) * 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
CN108048227B (zh) * 2017-12-18 2021-01-15 清华大学 一种光学材料清洗液
WO2021049330A1 (ja) * 2019-09-11 2021-03-18 富士フイルム株式会社 処理液、処理方法
CN112745509B (zh) * 2019-10-29 2022-09-20 北京工业大学 一种乳液聚合法制备共聚物/hkust-1复合材料的方法
CN111269761B (zh) * 2020-02-13 2021-04-27 金丝甲(上海)安全防范技术有限公司 洗消液及其用于锕系核素和过渡金属核素污染洗消的用途
CN115885027A (zh) * 2020-08-26 2023-03-31 亨斯迈石油化学有限责任公司 用于蚀刻、剥离和清洁应用的胺氧化物
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
BR112023019583A2 (pt) 2021-04-01 2023-12-05 Sterilex LLC Desinfetante/sanitizante em pó sem quaternários

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200628575A (en) * 2005-01-28 2006-08-16 Applied Materials Inc Method and composition for polishing a substrate
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation
TW201022148A (en) * 2008-10-02 2010-06-16 Advanced Tech Materials Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6825117B2 (en) * 1999-12-14 2004-11-30 Intel Corporation High PH slurry for chemical mechanical polishing of copper
US6350727B1 (en) 2000-01-28 2002-02-26 Amway Corporation Non-streaking no-wipe cleaning compositions with improved cleaning capability
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
CN102061228B (zh) 2002-06-07 2013-02-13 安万托特性材料股份有限公司 包含氧化剂和有机溶剂的微电子清洁组合物
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
KR20060115896A (ko) 2003-12-02 2006-11-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
EP1879704A2 (en) 2005-04-15 2008-01-23 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR20070121057A (ko) 2005-04-15 2007-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 초임계 유체 제거 또는 증착 공정을 위한 방법 및 장치
WO2006127885A1 (en) 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2006133249A2 (en) 2005-06-06 2006-12-14 Advanced Technology Materials, Inc. Integrated chemical mechanical polishing composition and process for single platen processing
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
WO2008114616A1 (ja) 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
TW200916571A (en) * 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP2009069505A (ja) 2007-09-13 2009-04-02 Tosoh Corp レジスト除去用洗浄液及び洗浄方法
KR20100101105A (ko) 2007-11-14 2010-09-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 가용성 나노결정의 무-용매 합성
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
CN102197124B (zh) 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US7846265B1 (en) 2009-10-13 2010-12-07 Xerox Corporation Media path universal cleaning fluid composition
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
KR101749086B1 (ko) 2010-04-15 2017-06-21 엔테그리스, 아이엔씨. 폐 인쇄 회로판의 재순환 방법
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
SG187959A1 (en) 2010-08-27 2013-03-28 Advanced Tech Materials Method for preventing the collapse of high aspect ratio structures during drying
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US20130045597A1 (en) 2010-11-19 2013-02-21 Mitsubishi Gas Chemical Company, Inc. Liquid composition for cleaning semiconductor substrate and method of cleaning semiconductor substrate using the same
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9972830B2 (en) 2011-06-21 2018-05-15 Warner Babcock Institute For Green Chemistry, Llc Method for the recovery of lithium cobalt oxide from lithium ion batteries
US8853144B2 (en) * 2011-08-05 2014-10-07 Ecolab Usa Inc. Cleaning composition containing a polysaccharide graft polymer composition and methods of improving drainage
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
JP6066552B2 (ja) 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
CN106914470A (zh) 2011-12-15 2017-07-04 恩特格里斯公司 用于在废弃的电气和电子设备的循环利用期间剥离焊料金属的装置和方法
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
WO2013123317A1 (en) * 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
CN104488068B (zh) 2012-03-12 2019-02-12 恩特格里斯公司 选择性去除灰化旋涂玻璃的方法
SG11201405737VA (en) 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CA2869431A1 (en) 2012-04-06 2013-10-10 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
TW201406931A (zh) 2012-05-11 2014-02-16 Advanced Tech Materials 用於矽化物製造期間濕蝕刻NiPt之配方
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
KR20150013830A (ko) 2012-05-18 2015-02-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
TW201500542A (zh) 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116679A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200628575A (en) * 2005-01-28 2006-08-16 Applied Materials Inc Method and composition for polishing a substrate
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation
TW201022148A (en) * 2008-10-02 2010-06-16 Advanced Tech Materials Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Also Published As

Publication number Publication date
US11127587B2 (en) 2021-09-21
WO2015119925A1 (en) 2015-08-13
US20160351388A1 (en) 2016-12-01
TW201542811A (zh) 2015-11-16

Similar Documents

Publication Publication Date Title
TWI705134B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
TWI600756B (zh) 利用後段化學機械拋光移除之組成物及其使用方法
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
TWI726859B (zh) 後化學機械拋光配方及使用之方法
KR101331747B1 (ko) 반도체 기판 처리 조성물
US9340760B2 (en) Non-amine post-CMP composition and method of use
JP6066552B2 (ja) 電子デバイス用洗浄液組成物
KR101997950B1 (ko) 반도체 디바이스용 세정액 및 반도체 디바이스용 기판의 세정 방법
TW201900860A (zh) 化學機械研磨後調配物及使用方法
KR20140082816A (ko) 비-아민 cmp-후 조성물 및 사용 방법
JPWO2012066894A1 (ja) 半導体基板の洗浄用液体組成物およびそれを用いた半導体基板の洗浄方法
JP2008210990A (ja) 半導体デバイス用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP2010087258A (ja) 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法