TWI620233B - 選擇性沉積的方法與設備 - Google Patents

選擇性沉積的方法與設備 Download PDF

Info

Publication number
TWI620233B
TWI620233B TW104118640A TW104118640A TWI620233B TW I620233 B TWI620233 B TW I620233B TW 104118640 A TW104118640 A TW 104118640A TW 104118640 A TW104118640 A TW 104118640A TW I620233 B TWI620233 B TW I620233B
Authority
TW
Taiwan
Prior art keywords
substrate
region
steps
layer
ions
Prior art date
Application number
TW104118640A
Other languages
English (en)
Other versions
TW201603124A (zh
Inventor
葉怡利
奈馬尼史林尼法斯D
葛迪魯多維
范寅
馬特里斯坦
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201603124A publication Critical patent/TW201603124A/zh
Application granted granted Critical
Publication of TWI620233B publication Critical patent/TWI620233B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

茲提供利用選擇性沉積製程形成鰭片結構的方法,且預定材料形成在鰭片結構的不同位置,以用於鰭式場效電晶體(FinFET)。在一實施例中,形成具預定材料的結構至基板上的方法包括沉積第一材料至具三維(3D)結構形成於上的基板上,同時進行佈植製程來摻雜3D結構的第一區域。移除第一材料,及沉積第二材料至3D結構上。第二材料選擇性在3D結構的第二區域成長。

Description

選擇性沉積的方法與設備
本文所述實施例大體係關於在半導體基板上形成三維結構的方法,例如鰭式場效電晶體(FinFET)。更特定言之,所述實施例係關於利用選擇性沉積製程形成三維結構至半導體基板上的方法,且結構的不同位置有不同的材料。
第1A圖(先前技術)圖示鰭式場效電晶體(FinFET)150的示例性實施例,FinFET 150置於基板100上。基板100可為矽基板、鍺基板或由其他半導體材料形成的基板。在一實施例中,基板100包括p型或n型摻雜劑摻雜於內。基板100包括複數個半導體鰭片102形成於上,半導體鰭片102由淺溝槽隔離(STI)結構104隔開。淺溝槽隔離(STI)結構104可由絕緣材料形成,例如氧化矽材料、氮化矽材料或氮化碳矽材料。
基板100可依需求包括部分NMOS裝置區101和部分PMOS裝置區103,半導體鰭片102各自相繼交替形成於基板100的NMOS裝置區101和PMOS裝置區103。半導體鰭片102形成突出淺溝槽隔離(STI)結構104的頂表面。隨後,通常包括閘電極層置於閘介電層上的閘極結構106沉積在NMOS裝置區101與PMOS裝置區103上和半導體鰭片102上面。
閘極結構106經圖案化而露出半導體鰭片102未被閘極結構106覆蓋的部分148、168。接著利用佈植製程,摻雜摻雜劑至半導體鰭片102的露出部分148、168,以形成淺摻雜源極與汲極(LDD)區。
第1B圖(先前技術)圖示基板100的截面圖,基板包括複數個半導體鰭片102形成於基板100上並由淺溝槽隔離(STI)結構104隔開。形成於基板100上的複數個半導體鰭片102可為基板100的一部分且從基板100往上延伸,並由淺溝槽隔離(STI)結構104隔開各半導體鰭片102。在另一實施例中,半導體鰭片102為置於基板100上的個別形成結構,及利用此領域已知的適當技術由不同於基板100的材料組成。在將半導體鰭片102的不同材料形成至不同表面120(包括由頂表面110連接的第一側壁120a和第二側壁120b)的實施例中,可進行附加製程步驟,以改變半導體鰭片102的材料形成於半導體鰭片102的不同表面120。
第2A圖至第2C圖(先前技術)圖示用於進行沉積製程的習知製程。製程採用自組裝單層(SAM)做為表面改質層,以選擇性改質基板上露出不同表面材料的表面性質。例如,如第2A圖所示,基板202包括由第一材料(例如氧化矽層)形成的特徵結構204置於由第二材料(例如矽)形成的基板202上。特徵結構204具有開口208定義於內而露出基板202的表面206。接著如第2B圖所示,使用溶液基前驅物,在基板202上形成自組裝單層(SAM)210。通常,自組裝單層(SAM)210形成於能與自組裝單層(SAM)210的分子產生化學反應的表面。在第2B圖所示實施例中,用於形成自組裝單層(SAM)210的前驅物經選擇以與特徵結構204的表面212(例如氧化矽材料)、而不與基板202的表面206(例如矽材料)產生化學反應。藉此,自組裝單層(SAM)210主要形成在基板202的特徵結構204上,且基板202的表面206無自組裝單層(SAM)210。隨後,如第2C圖所示,進行原子層沉積(ALD)製程,以選擇性在基板202的預定表面206形成結構214,ALD係對表面條件很敏感的製程。
利用形成於特徵結構204的自組裝單層(SAM)210,可選擇性只在基板202的預定表面206形成結構214。然在基板只含一種材料的情況下,自 組裝單層(SAM)210會全面形成於整個基板表面,導致選擇性沉積材料難以達成。
故需要適於三維(3D)堆疊半導體晶片或其他半導體裝置的改良選擇性沉積製程方法。
在一實施例中,提供在基板上形成結構的方法。方法包括進行佈植製程,以摻雜3D結構的第一區域,3D結構形成在基板上,同時沉積第一材料至3D結構上。移除第一材料,及選擇性沉積第二材料至3D結構的第二區域上。
在另一實施例中,提供在基板上形成鰭片結構的方法。方法包括進行方向性電漿製程,以形成處理層至基板的佈植區上和未處理層至基板的非佈植區上。主要在基板的佈植區上選擇性沉積材料層。
在又一實施例中,提供在基板上形成鰭片結構的方法。方法包括進行方向性電漿製程,以形成處理層至基板的佈植區上和未處理層至基板的非佈植區上。主要在基板的非佈植區上選擇性沉積材料層。
100‧‧‧基板
101、103‧‧‧裝置區
102‧‧‧半導體鰭片
104‧‧‧STI結構
106‧‧‧閘極結構
110‧‧‧頂表面
120‧‧‧表面
120a-b‧‧‧側壁
148、168‧‧‧部分
150‧‧‧FinFET
200‧‧‧處理腔室
202‧‧‧基板
204‧‧‧特徵結構
206、212‧‧‧表面
208‧‧‧開口
210‧‧‧SAM
214‧‧‧結構
300‧‧‧處理腔室
301‧‧‧腔室主體
302‧‧‧離子
306‧‧‧電漿源
308‧‧‧電漿鞘調節器
309‧‧‧處理區
312、314‧‧‧調節器
316‧‧‧縫隙
334‧‧‧基板支撐件
338‧‧‧基板
340‧‧‧電漿
341‧‧‧邊界
342‧‧‧電漿鞘
344‧‧‧特徵結構
347‧‧‧側壁
349‧‧‧頂表面
351‧‧‧平面
369、370、371‧‧‧軌跡路徑
388‧‧‧氣源
390‧‧‧偏壓源
400‧‧‧處理腔室
402‧‧‧電弧室
403‧‧‧側壁
406‧‧‧離子
410‧‧‧提取孔徑
414‧‧‧抑制電極
416‧‧‧接地電極
418‧‧‧離子束
420‧‧‧電漿鞘調節器
423‧‧‧平面
430、432‧‧‧調節器
440‧‧‧電漿
441‧‧‧邊界
442‧‧‧電漿鞘
450‧‧‧縫隙
500‧‧‧處理腔室
502‧‧‧離子源
504‧‧‧提取電極
506、510‧‧‧磁力分析儀
508、512‧‧‧平臺
614‧‧‧升降機
616‧‧‧升降板
618‧‧‧致動器
620‧‧‧插銷
622‧‧‧淨化環
624‧‧‧淨化通道
629‧‧‧腔室主體
630‧‧‧氣體輸送設備
631‧‧‧側壁
632‧‧‧腔室蓋
633‧‧‧縫閥管道
634‧‧‧處理腔室
635‧‧‧底部
636A-B、637A-B‧‧‧氣體入口
637‧‧‧擴張管道
638、639、640‧‧‧氣源
642A-B‧‧‧閥
643A-B‧‧‧輸送管線
644A-B、646A-B‧‧‧閥座組件
645A-B‧‧‧淨化管線
648A-B‧‧‧控制器
660‧‧‧底表面
662‧‧‧扼流器
666‧‧‧泵送區
672‧‧‧帽部
678‧‧‧泵送系統
679‧‧‧泵送通道
680‧‧‧控制單元
682‧‧‧CPU
683‧‧‧軟體
684‧‧‧支援電路
686‧‧‧記憶體
688‧‧‧匯流排
690‧‧‧擴張管道
692‧‧‧基板支撐件
700‧‧‧製程
702、704、706、708‧‧‧方塊
802‧‧‧基板
804‧‧‧鰭片結構
805‧‧‧凹槽
806、806a-b‧‧‧側壁
808‧‧‧頂表面
810‧‧‧材料
812‧‧‧側壁
814‧‧‧頂部
816‧‧‧底部
818‧‧‧離子
820‧‧‧材料層
1400、1402、1404‧‧‧調節器
G、G1、G2‧‧‧水平間距
S、Z‧‧‧垂直間距
Za、Zb‧‧‧距離
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
第1A圖(先前技術)圖示基板的示例透視圖,基板具有鰭式場效電晶體(FinFET)結構形成於上;第1B圖(先前技術)圖示基板的示例截面圖,基板具有部分鰭式場效電晶體(FinFET)結構形成於上;第2A圖至第2C圖(先前技術)圖示利用自組裝單層(SAM)進行選擇性沉積製程的示例製程流程; 第3A圖圖示設備,用以佈植摻雜劑至基板上的結構;第3B圖圖示另一設備實施例,用以佈植摻雜劑至基板上的結構;第4圖圖示又一設備實施例,用以佈植摻雜劑至基板上的結構;第5圖圖示再一設備實施例,用以佈植摻雜劑至基板上的結構;第6圖圖示用於進行原子層沉積(ALD)製程的設備;第7圖圖示用於形成具複合材料的鰭片結構至基板上的方法流程圖;及第8A圖至第8F圖圖示根據第7圖所示製程,在製造製程期間,形成具預定材料的鰭片結構的示例順序。
為助於理解,盡可能以相同的元件符號代表各圖中共同的相似元件。應理解某一實施例的元件和特徵結構當可有益地併入其他實施例,在此不另外詳述。然應注意所附圖式僅說明本發明的示例性實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
茲提供選擇性沉積不同材料至結構的不同位置的方法,結構形成於基板上。結構可包括鰭片結構、閘極結構、接觸結構或半導體裝置中的任何適合結構,特別係鰭式場效電晶體(FinFET)半導體結構的三維(3D)堆疊。在一實施例中,選擇性沉積製程形成不同材料至不同表面,例如結構的不同部分,其中結構包含單一材料。例如,所述方法利用離子輔助方向性電漿處理(PME),以一或更多選定角度佈植離子到基板的預定區域,基板具有3D結構形成於上,藉以相繼或同時處理3D結構的不同區域。離子將改質部分基板或第一沉積材料的表面性質,致使後續選擇性沉積製程進行。PME處理後,清洗製程移除第一沉積材料層而露出3D結構或基板的佈植區和非佈植區。隨後,沉積 製程用於選擇性形成第二沉積層至3D結構上。如後所詳述,第二沉積層可選擇性形成在3D結構的佈植區或非佈植區上。
第3A圖係適於佈植摻雜劑至基板的處理腔室300的實施例截面圖。適用本文教示的適合處理腔室例如包括取自美國加州聖克拉拉的應用材料公司(Applied Materials,Inc.)的VARIAN VIISTA®TRIDENT系統。應理解取自其他製造商的其他適當配置系統亦受惠於所述實施例。所述處理腔室300可用作電漿摻雜設備。然處理腔室300亦可包括蝕刻及沉積系統,但不以此為限。另外,電漿摻雜設備可對基板進行許多不同的材料改質製程。此類製程包括用預定摻雜劑材料摻雜基板,例如半導體基板。
處理腔室300包括腔室主體301,腔室主體定義內部處理區309。基板支撐件334設在處理腔室300。在方向性電漿製程期間,具特徵結構344形成於上的基板338置於基板支撐件334上。基板338可包括半導體晶圓、平板、太陽能面板和聚合物基板,但不以此為限。半導體晶圓可依需求呈盤狀且直徑為200毫米(mm)、300毫米(mm)或450毫米(mm)或其他尺寸。
RF電漿源306耦接至腔室主體301及配置以於處理腔室300中產生電漿340。在第3A圖實施例中,電漿鞘調節器308設在內部處理區309。電漿鞘調節器308包括一對調節器312、314並於其間定義縫隙316。縫隙316定義水平間距(G)。在一些實施例中,電漿鞘調節器308包括絕緣體、導體或半導體。該對調節器312、314可為一對薄平片材。在其他實施例中,該對調節器312、314可具其他形狀,例如管狀、楔形,及/或靠近縫隙316具有斜邊。在一實施例中,調節器312、314可由石英、氧化鋁、氮化硼、玻璃、多晶矽、氮化矽、碳化矽、石墨等製成。
該對調節器312、314定義的縫隙316的水平間距可為約6.0毫米(mm)。該對調節器312、314亦可設置以於平面351上方定義垂直間距(Z)。 平面351由基板338的正面或基板支撐件334的表面定義。在一實施例中,垂直間距(Z)為約3.0mm。
氣源388耦接至處理腔室300,以供應可離子化氣體至內部處理區309。可離子化氣體實例包括BF3、BI3N2、Ar、PH3、AsH3、B2H6、H2、Xe、Kr、Ne、He、SiH4、SiF4、SF6、C2F6、CHF3、GeH4、GeF4、CH4、CF4、AsF5、PF3和PF5,但不以此為限。電漿源306可藉由激發及離子化供給處理腔室300的氣體而產生電漿340。電漿340中的離子可被不同機制吸引橫越電漿鞘342。在第3A圖實施例中,偏壓源390耦接至基板支撐件334及配置以偏壓基板338而吸引電漿340中的離子302,使之橫越電漿鞘342。偏壓源390可為提供DC電壓偏壓信號的DC電源或提供RF偏壓信號的RF電源。
咸信電漿鞘調節器308可調節電漿鞘342內的電場,以控制電漿340與電漿鞘342間的邊界341的形狀。電漿340與電漿鞘342間的邊界341可相對平面351呈凸狀。當偏壓源390偏壓基板338時,離子302被吸引而以大範圍入射角經由調節器312、314間定義的縫隙316橫越電漿鞘342。例如,依循軌跡路徑371的離子302可以相對平面351的正θ(+θ)角度撞擊基板338。依循軌跡路徑370的離子可以相對同一平面351約90度的角度垂直撞擊基板338。依循軌跡路徑369的離子可以相對平面351的負θ(-θ)角度撞擊基板338。故入射角範圍可為約正θ(+θ)至約負θ(-θ)且中心約90度。此外,一些離子循軌跡路徑可相交,例如路徑369、371。
視若干因子而定,包括調節器312、314間的水平間距(G)、電漿鞘調節器308於平面351上方的垂直間距(Z)、調節器312、314的介電常數和其他電漿製程參數,但不以此為限,入射角(θ)的範圍可為+60度至-60度且中心約0度。因此,離子302可均勻處理基板338上的小型三維結構。例如,離子302 可更均勻處理特徵結構344的側壁347、而非只有頂表面349,特徵結構344用於形成FinFET裝置的鰭片結構並放大以便清楚說明。
參照第3B圖,至少三個調節器1400、1402、1404代替第3A圖所示的一對調節器312、314來控制離子依預定角度分布到基板338。藉由把外二調節器1400、1404排列在基板338上方形成相等距離Za的共同平面(相同垂直平面(Za))及保持調節器1400、1402、1404間呈相等水平間距G1、G2,可獲得對稱雙模角離子展度且中心約±θ(+θ與-θ)度。如上所述,改變外調節器1400、1404與中間調節器1402間的垂直間距,可改變縫隙角度,藉以調節離子植入基板338的入射角。改變調節器1400、1402、1404間的水平間距(G1、G2),可改變水平間距(G1、G2)定義的縫隙寬度,藉以調節角離子展度。藉由使Za不同於Zb、選擇不同於G2的G1、或結合上述方式,可產生非對稱分布。在一實施例中,角離子展度可調節成從中心起約0度至約30度,如此可只處理或佈植離子至結構一側。
第4圖圖示離子處理腔室400的另一實施例,以用預定可變入射角佈植離子至基板。處理腔室400包括具側壁403和提取孔徑410的電弧室402。處理腔室400進一步包括電漿鞘調節器420,用以控制電漿440與鄰近提取孔徑410的電漿鞘442間的邊界441的形狀。提取電極組件自電漿440提取離子406並使離子加速橫越電漿鞘442而形成界限分明的離子束418。提取電極組件包括做為弧溝電極的側壁403、抑制電極414和接地電極416。抑制電極414和接地電極416各具對準提取孔徑410的孔徑,以提取界限分明離子束418。為助於說明,乃定義笛卡兒座標系統,其中離子束418朝Z方向行進。X-Y平面垂直Z方向,此可視離子束418的方向而異。
在第4圖實施例中,電漿鞘調節器420包括一對調節器430、432設在電弧室402。在其他實施例中,電漿鞘調節器420包括一個調節器。調節器 430、432可由石英、氧化鋁、氮化硼、矽、碳化矽、石墨、玻璃、瓷土、氮化矽等製成。該對調節器430、432可為一對薄平片材。在其他實施例中,該對調節器430、432可具其他形狀,例如管狀、楔形,及/或具有斜邊。該對調節器430、432可於其間定義間距(G)的縫隙450。該對調節器430、432亦可置於平面423上方的垂直間距(S)處,平面由具提取孔徑410的側壁403內面定義。
操作時,進給氣體(未圖示)供應到電弧室402。進給氣體實例包括BF3、BI3N2、Af、PH3、AsH3、B2H6、H2、Xe、SF6、C2F6、CHF3、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3和PF5,但不以此為限。視預定物種而定,進給氣體可源自氣源或由固體源汽化。進給氣體在電弧室402中離子化而產生電漿。其他產生電漿的離子源類型包括間接加熱陰極(IHC)源、Bernas源、RF源、微波源和電子迴旋共振(ECR)源。IHC源通常包括燈絲設置緊鄰陰極,且亦包括相關電源。陰極(未圖示)設在電弧室402。當燈絲加熱時,燈絲發射的電子將加速朝向陰極而加熱陰極。加熱陰極進而提供電子至電弧室,電子與進給氣體的氣體分子離子化碰撞而產生電漿。
包括側壁403、抑制電極414和接地電極416的提取電極組件自電弧室402中的電漿440提取離子406至界限分明離子束418。離子406經由該對調節器430、432間的縫隙450加速橫越邊界441和電漿鞘442。做為弧源電極的側壁403由電源偏壓成和電弧室402一樣大的電位。抑制電極414可以適度負值偏壓,以防止電子返回電弧室402。接地電極416可處於接地電位。電極組件產生的電場強度可調整成達預定射束電流和能量。
有利地,電漿鞘調節器420控制電漿440與鄰近提取孔徑410的電漿鞘442間的邊界441的形狀。為控制邊界441的形狀,電漿鞘調節器420調節或影響電漿鞘442內的電場。當電漿鞘調節器420包括該對調節器430、432時,如第4圖所示,邊界441可相對電漿440呈凹狀。視若干因子而定,包括調節器430、 432間的水平間距(G)、調節器430、432於基板或基板支撐件平面上方的垂直間距(S)、調節器430、432的材料與厚度和其他離子源製程參數,但不以此為限,可控制邊界441的形狀。
電漿440與電漿鞘442間的邊界441的形狀和電漿鞘442內的電場梯度控制離子束參數。例如,離子406的角展度可控制以協助離子束聚焦。例如,利用相對電漿呈凹狀的邊界441,可使離子以大角展度加速橫越邊界而協助射束聚焦。此外,亦可控制離子束418的離子束電流密度。例如,相較於習知離子源的邊界441,邊界441具有大面積來提取額外離子。因此,額外提取離子有助於提高離子束電流密度。故若所有其他參數相同,則邊界441的形狀可提供具高離子束電流密度的聚焦離子束。另外,亦可控制邊界441的形狀,以控制離子束發射率。故可就特定粒子密度和角分布明確定義提取離子束的射束品質。
第5圖圖示習知離子佈植處理腔室500,用以摻雜離子至基板的某些區域。離子佈植處理腔室500包括離子源502、提取電極504、90度磁力分析儀506、第一減速(D1)平臺508、磁力分析儀510和第二減速(D2)平臺512。減速平臺D1、D2(亦稱作減速透鏡)各自包含多個電極,電極具有預定孔徑讓離子束通過。藉由施加不同電壓電位組合至多個電極,減速透鏡D1、D2可操縱離子能量及促使離子束以預定能量擊中目標晶圓而佈植離子到基板。上述減速透鏡D1、D2通常為靜電三極體(或四極體)減速透鏡。
第6圖係原子層沉積(ALD)處理腔室634的實施例截面圖。ALD處理腔室634包括適於循環沉積的氣體輸送設備630,例如ALD或化學氣相沉積(CVD)。在此所用「ALD」和「CVD」等用語係指相繼或同時引入反應物來沉積薄層至基板結構上面。相繼引入反應物可反覆進行,以沉積複數個薄層而形成預定厚度的共形層。處理腔室634亦適於其他沉積技術和微影製程。
處理腔室634包含具側壁631和底部635的腔室主體629。形成穿過腔室主體629的縫閥管道633提供機器人(未圖示)進出處理腔室634,以傳送及取回基板338,例如200mm、300mm或450mm的半導體基板或玻璃基板。
基板支撐件692設在處理腔室634並於處理期間支撐基板338。基板支撐件692裝設至升降機614,用以抬高及降低基板支撐件692和放置於上的基板338。升降板616連接至升降板致動器618,用以控制升降板616的高度。可抬高及降低升降板616,以抬高及降低插銷620,插銷為可動設置穿過基板支撐件692。插銷620用於抬高及降低基板支撐件692表面的基板338。基板支撐件692可包括真空吸盤、靜電夾頭或挾環,以於處理期間將基板338固定於基板支撐件692的表面。
可加熱基板支撐件692,以加熱放置於上的基板338。例如,可利用埋置加熱元件加熱基板支撐件692,例如電阻式加熱器,或可利用輻射加熱來加熱,例如設在基板支撐件692上方的加熱燈。淨化環622設在基板支撐件692上,以定義淨化通道624,此提供淨化氣體至基板338的周圍部分,以免沉積於上。
氣體輸送設備630設在腔室主體629的上部,以提供氣體至處理腔室634,例如處理氣體及/或淨化氣體。泵送系統678連接泵送通道679,以將任何預定氣體排出處理腔室634及協助處理腔室634的泵送區666內維持預定壓力或預定壓力範圍。
在一實施例中,氣體輸送設備630包含腔室蓋632。腔室蓋632包括從腔室蓋632中心部分延伸的擴張管道637和從擴張管道637延伸到腔室蓋632周圍部分的底表面660。底表面660按尺寸製作及塑形以實質覆蓋置於基板支撐件692上的基板338。腔室蓋632在鄰接基板338周邊的腔室蓋632周圍部分具有扼流器662。帽部672包括部分擴張管道637和氣體入口636A、636B。擴張管道637 具有氣體入口636A、636B,以由二類似閥642A、642B提供氣流。可一起及/或分別由閥642A、642B提供氣流。
在一配置下,閥642A、642B耦接至不同反應氣源,但耦接至相同淨化氣源。例如,閥642A耦接至反應氣源638,閥642B耦接至反應氣源639,閥642A、642B均耦接至淨化氣源640。每一閥642A、642B包括具閥座組件644A、644B的輸送管線643A、643B,及包括具閥座組件646A、646B的淨化管線645A、645B。輸送管線643A、643B連接反應氣源638、639並連接擴張管道690的氣體入口637A、637B。輸送管線643A、643B的閥座組件644A、644B控制反應氣體從反應氣源638、639到擴張管道690的流量。淨化管線645A、645B連接淨化氣源640且在輸送管線643A、643B的閥座組件644A、644B下游與輸送管線643A、643B相交。淨化管線645A、645B的閥座組件646A、646B控制淨化氣體從淨化氣源640到輸送管線643A、643B的流量。若載氣用於輸送反應氣源638、639的反應氣體,則相同氣體可做為載氣和淨化氣體(即氬氣可兼作載氣和淨化氣體)。
各閥642A、642B可為零怠體積閥,以於閥的閥座組件644A、644B關閉時,沖走輸送管線643A、643B的反應氣體。例如,淨化管線645A、645B可設置鄰接輸送管線643A、643B的閥座組件644A、644B。當閥座組件644A、644B關閉時,淨化管線645A、645B可提供淨化氣體來沖洗輸送管線643A、643B。在所示實施例中,淨化管線645A、645B設置略為遠離輸送管線643A、643B的閥座組件644A、644B,如此打開時,淨化氣體不會直接輸送到閥座組件644A、644B。在此所用「零怠體積閥」定義為怠體積可忽略(即未必零怠體積)的閥。各閥642A、642B適於提供反應氣源638、639與淨化氣源640的結合氣流及/或個別氣流。藉由打開及關閉淨化管線645A的閥座組件646A的孔板,可提供淨化氣體脈衝。藉由打開及關閉輸送管線643A的閥座組件644A的孔板,可提供反應氣源638的反應氣體脈衝。
控制單元680耦接至處理腔室634,用以控制處理條件。控制單元680包含中央處理單元(CPU)682、支援電路684和記憶體686,記憶體含有相關控制軟體683。控制單元680可為任一類型的通用電腦處理器,通用電腦處理器可用於工業設定來控制各種腔室和子處理器。CPU 682可使用任何適合的記憶體686,例如隨機存取記憶體、唯讀記憶體、軟碟、光碟、硬碟或任何其他類型的本端或遠端數位儲存器。不同支援電路可耦接至CPU 682,用以支援處理腔室634。控制單元680可耦接至設置鄰接個別腔室部件的另一控制器,例如閥642A、642B的可程式邏輯控制器648A、648B。透過許多信號電纜可操縱控制單元680與處理腔室634的各種其他部件間的雙向通信,信號電纜統稱信號匯流排688,部分信號電纜乃圖示於第6圖。除了控制氣源638、639、640的處理氣體和淨化氣體及閥642A、642B的可程式邏輯控制器648A、648B,控制單元680還可配置以負責自動控制其他基板處理動作,例如基板傳送、溫度控制、腔室排空等其他動作,部分動作將描述於後。
第7圖係選擇性沉積製程的實施例流程圖,此製程可形成不同材料至結構的不同位置,結構形成於基板上。結構可為自基板往外延伸的三維結構,例如鰭片結構、閘極結構、接觸結構或用於半導體應用的任何其他適合結構。第8A圖至第8F圖係複合基板的局部截面圖,此對應製程700的不同階段。製程700可用於形成鰭片結構至基板上,基板具有預定材料形成於鰭片結構的不同位置,鰭片結構後來可用於形成三維(3D)IC應用的鰭式場效電晶體(FinFET)。或者,製程700有益於蝕刻其他結構類型。
製程700始於方塊702:提供基板,例如第8A圖至第8F圖所示基板802,基板可為第3A圖至第6圖所示基板338且具有複數個結構形成於上,例如鰭片結構。在一實施例中,基板802可為諸如結晶矽(例如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓與圖 案化或未圖案化的晶圓、絕緣層上矽晶(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料。基板802可具各種尺寸,例如200mm、300mm、450mm或其他直徑,且為矩形或方形面板。除非另行註明,否則所述實施例和實例係處理直徑200mm、直徑300mm或直徑450mm的基板。在SOI結構用於基板802的實施例中,基板802可包括內埋介電層置於結晶矽基板上。在所述實施例中,基板802為結晶矽基板。再者,基板802不限於任何特定尺寸或形狀。基板802可為直徑200mm、直徑300mm或其他直徑(例如450mm等)的圓形基板。基板亦可為任何多邊形、方形、矩形、彎曲或其他非圓形工件,例如用於製造平面顯示器的多邊形玻璃基板。
鰭片結構804可為自基板802往外延伸突出的結構。鰭片結構804具有側壁806(第8A圖至第8F圖所示第一側壁806a和第二側壁806b),側壁端接頂表面808。在一實施例中,蝕刻基板802,以在鰭片結構804間形成凹槽805,從而於基板802中形成鰭片結構804。接著用絕緣材料填充部分凹槽805,以形成淺溝槽隔離(STI)結構(為便於說明並未圖示),此有助於在其間形成鰭片結構804供FinFET製造製程用。由於鰭片結構804係蝕刻基板802而成,故鰭片結構804的材料將和基板802一樣,基板可為含矽材料。在所述實施例中,基板802係矽基板,故由此形成的鰭片結構804亦為矽材料。
在一實施例中,用於形成淺溝槽隔離(STI)結構的絕緣材料可為介電材料,例如氧化矽材料。絕緣材料可依需求由電漿加強化學氣相沉積(CVD)、流式化學氣相沉積(CVD)、高密度電漿(HDP)化學氣相沉積(CVD)製程、原子層沉積(ALD)、循環層沉積(CLD)、物理氣相沉積(PVD)等形成。在一實施例中,絕緣材料由流式或電漿加強化學氣相沉積(CVD)形成。
注意形成於基板802中的鰭片結構804可依需求具有不同輪廓形式,包括呈實質直線、喇叭狀展開、往上變細或往下變細或傾斜輪廓的側壁806、特殊側壁特徵結構、外伸或底切結構或其他輪廓。
繼續進行製程700,在方塊704中,沉積第一沉積材料至基板802上,基板具有鰭片結構804形成於上,同時進行佈植製程,以摻雜3D結構的第一區域。在方塊706中,移除第一沉積材料。在方塊708中,沉積第二沉積材料至基板上。第二材料可在基板的第二區域選擇性成長。在某些實施例中,第一材料不均勻沉積在基板802的處理與未處理區和鰭片結構804上。處理與未處理區可由佈植製程形成。
方塊704的佈植製程可用於摻雜、塗佈、處理、佈植、***或改質鰭片結構804和基板802的某些位置(例如第一區域)的某些膜/表面性質,此如第8A圖至第8F圖所示。注意形成於基板802上的第一沉積材料810可提供有序結構做為待在方塊704中形成薄層的成長模板。例如,佈植後,第一材料810的佈植區可用於加工原來基板的界面性質,以選擇性促進隨後在方塊708中進行的沉積製程。
佈植製程期間,第一材料810沉積至基板802上。在一實施例中,第一材料810均勻覆蓋摻雜基板802。在另一實施例中,第一材料810在基板802的不同區域有不同厚度。例如,第一材料810可於基板802或鰭片結構804的不同區域具不同厚度,例如鰭片結構804的側壁、鰭片結構804的頂部或相鄰鰭片結構804間的基板802區域。
根據態樣,進行方向性電漿製程(或離子摻雜/佈植製程),以對鰭片結構804的某些位置摻雜、塗佈、處理、佈植、***或改質某些膜/表面性質,如第8A圖至第8F圖所示,摻雜劑形成於鰭片結構804內。方向性電漿製程採用方向性及/或具特定選定角的入射離子818來改質第一材料810的預定部分的膜 /表面性質,第一材料810同時沉積至基板802上。此外,方向性電漿製程可改質基板802的區域。
如第8A圖及第8B圖所示,佈植製程可摻雜鰭片結構804的第一側壁812和頂部814。如第8C圖及第8D圖所示,佈植製程可摻雜鰭片結構804的第一側壁812與頂部814和鰭片結構底部816。如第8E圖及第8F圖所示,佈植製程可摻雜鰭片結構804的頂部814和底部816。
雖然第8A圖至第8F圖圖示摻雜區特例,然應注意離子可依需求佈植到鰭片結構804的任何預定位置,以選擇性局部改變表面性質。
方向性電漿製程可在方向性電漿處理腔室中進行,例如第3A圖至第3B圖、第4圖或第5圖所示處理腔室300、400、500、或其他習知適合離子佈植/摻雜處理工具。如第8A圖至第8F圖所示,方向性電漿製程以預定入射角佈植離子818至選定區域。選定區域的實例包括第8A圖及第8B圖所示鰭片結構804的第一側壁812與頂部814、第8C圖及第8D圖所示鰭片結構804的第一側壁812、頂部814與底部816、和第8E圖及第8F圖所示鰭片結構804的頂部814與底部816。
包括預定原子類型的離子佈植到如第8A圖至第8F圖所示基板802和鰭片結構804。佈植結果將使部分基板802及/或鰭片結構804保持不變或未處理。如上所述,在某些實施例中,在第一材料沉積期間,離子可植入第一材料。
離子818植入基板802會改質基板802的膜/表面性質,從而影響、減弱或改變在後續沉積製程期間待吸附或與之反應的分子化學反應及/或吸著性。故在方塊708中,選擇性沉積製程可只主要沉積至某些區域,包括3D結構的佈植(例如處理)或非佈植(例如未處理)區。
在一實施例中,方向性電漿製程或離子佈植/摻雜製程產生的離子818配置成具有約0度至約60度的入射角。利用預期的預定入射與方向角,可 將離子818主要佈植到上述第8A圖至第8F圖的預定區域。藉由控制入射角,可處理鰭片結構804的選定部分。藉此,可使不擬於方向性電漿製程期間摻雜、電漿處理或沉積的一些預定鰭片結構區域選擇性及/或故意略過方向性電漿製程(即不經處理)。
方向性電漿製程可改變鰭片結構804、形成處理或佈植層及形成預定摻雜分布。如此可形成具改變膜性質的處理或佈植層,而於後續沉積製程期間得到不同的製程結果,此將詳述於方塊708。
在一實施例中,方向性電漿製程可進行約1秒至約600秒,直到第一沉積材料形成於基板802上,從而形成預定處理區。或者,方向性電漿製程可採用約1×1015個離子/平方公分(cm2)至約5×1017個離子/cm2的摻雜濃度(即劑量)進行一段時間。
或者,方向性電漿製程可依需求使離子818以第一角度撞擊鰭片結構804的第一位置,接著使離子818以第二角度撞擊同一鰭片結構804的第二位置。如此可在基板802上的第一沉積材料底下形成預定圖案,此後來可做為模板供選擇性沉積預定圖案的材料層於上。若形成於基板802上的鰭片結構804具有不同深寬比、幾何形狀、關鍵尺寸、寬度、長度或圖案密度,則可使離子以不同入射角撞擊不同位置。藉此,所得結構可形成具有特性不同於另一面的面,例如具有不同表面形貌或表面性質。
在一實施例中,方向性電漿製程可利用移動平臺支撐及移動基板802,而以相對入射離子818的不同角度露出鰭片結構804。移動平臺和放置於上的基板802若傾斜離子束則允許互動式離子掃描/處理製程以預定模式連續或反覆線性、環狀或規律處理基板802的某些區域。
方向性電漿製程期間可控制數個製程參數。方向性電漿製程進行可供應氣體混合物至處理腔室。可以約10sccm(標準毫升每分鐘)至約200sccm 的流率供應摻雜劑氣體混合物至處理腔室。適合供入離子摻雜氣體混合物的氣體包括AsH3、GaH3、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3、PF5、B2H6、BH3等。鈍氣(例如Ar、He、Kr、Ne等)或載氣(例如H2、N2、N2O、NO2等)亦可供入氣體混合物。腔室壓力通常維持在約0.1毫托耳至約100毫托耳,例如約10毫托耳。諸如電容或感應RF功率的RF功率、DC功率、電磁能或磁控濺鍍可供應至處理腔室200,以協助氣體混合物於處理時解離。
解離能產生的離子可利用施加DC或RF電偏壓至基板支撐件或基板支撐件上方的氣體入口或二者產生的電場加速朝向基板。在一些實施例中,離子經質量選擇或質量過濾處理,此處理包含使離子通過垂直對準預定移動方向的磁場。RF功率提供的電場可電容或感應耦合而離子化原子,且可為DC放電場或交流場,例如RF場。或者,微波能可施加至含任一該等元素的離子佈植氣體混合物,以產生離子。在一些實施例中,含高能離子的氣體為電漿。約50伏特(V)至約10000V(例如約4000V)的電偏壓(峰-峰電壓)施加至基板支撐件、氣體分配器或二者,使離子以預定能量加速朝向基板表面。在一些實施例中,電偏壓亦用於離子化處理氣體。在其他實施例中,第二電場用於離子化處理氣體。在一實施例中,提供頻率約2兆赫的RF場來離子化處理氣體,及以約100瓦(W)至約10000W的功率大小偏壓基板支撐件。如上所述,藉由偏壓基板或氣體分配器,將使產生離子大致加速朝向基板。
在一些實施例中,脈衝輸送用於產生離子的功率。功率可施加至電漿源一段預定時間,接著中斷一段預定時間。可以預定頻率和工作週期反覆功率循環,計預定循環次數。在一些實施例中,可以約1赫茲至約50000赫茲的頻率產生電漿脈衝,例如約5000赫茲至約10000赫茲。在其他實施例中,可以約10%至約90%的工作週期(每循環供電時間與未供電時間的比率)產生電漿脈 衝,例如約30%至約70%。在一實施例中,供應約100瓦至約5000瓦的RF源功率,及供應約50瓦至約11000瓦的偏壓功率。製程溫度可控制在約5℃至約650℃。
在方塊706中,移除第一材料810,以露出鰭片結構804和基板802的處理或佈植區和未處理或非佈植區。在某些實施例中,移除第一材料810亦可露出結構及/或基板802的非佈植區。根據態樣,如第8A圖至第8F圖所示,利用濕式清洗製程移除第一材料810。應理解乾式電漿製程亦可用於移除第一材料810。選定清洗製程的化學品能等向移除第一材料810。
在方塊708中,在方向性電漿製程或離子佈植製程及移除第一材料後,沉積第二材料至3D結構上。第二材料可選擇性在結構的第二區域成長。根據第8A圖、第8C圖及第8E圖所示實例,進行原子層沉積製程,以主要在基板802的佈植或處理區選擇性沉積材料層820至基板802上。如第8B圖、第8D圖及第8F圖所示,進行原子層沉積製程,以主要在基板802的非佈植或未處理區選擇性沉積材料層820至基板802上。
如上所述,根據態樣,結構的佈植或處理區會吸著及與ALD製程期間供應的分子反應而併入各ALD製程脈衝的原子,以生成及連續沉積材料層820。佈植的第一沉積層可當作模板供材料層820選擇性形成於擬定區域。根據態樣,擬定區域可包括鰭片結構804的佈植或非佈植區,如此可就不同裝置要求形成鰭片結構且不同材料形成於鰭片結構的不同區域。
由於ALD製程易受表面條件影響,故製程700係選擇性沉積材料層820至第一沉積材料上的理想方法。或者,材料層820可選擇性沉積在基板802及/或鰭片結構804上。ALD製程係具自終止/限制成長的CVD製程。ALD製程能生成僅數埃或單層等級的厚度。藉由把化學反應分成兩個重複循環的個別半反應,可控制ALD製程。ALD製程形成材料層820的厚度取決於反應循環次數。第一反應提供待吸著於基板的分子層第一原子層,第二反應提供待吸著於第一原 子層的分子層第二原子層。如此,第一沉積材料810的有序結構可做為模板供材料層820成長。或者,基板802和鰭片結構804的處理表面可做為模板供材料層820成長。
離子佈植形成的處理層可當作防止成長遮罩,用以阻止ALD材料沉積至佈植區,3D結構的非佈植/未改變區則可做為初始晶種/成核層,使ALD材料在佈植提供的成核位置成核及成長。或者,離子佈植形成的處理層可做為初始晶種,使ALD材料在第一沉積層及後續佈植提供的成核位置成核及成長,非佈植、未處理區則可當作防止成長遮罩,用以阻止ALD材料沉積至非佈植區。依此,選擇性沉積製程可於半導體基板上形成3D結構且結構的不同位置有不同材料。
ALD沉積製程期間,第一反應氣體混合物脈衝供應至處理腔室,例如第6圖所示處理腔室634,以形成第二沉積材料層820至鰭片結構804上。由於方向性離子佈植形成的3D結構區域(即頂部814)具有不同於3D結構的未處理/未改變區的化性,頂部814的分子將無法黏附第一單層材料層820的原子。故第一單層的原子主要會吸著3D結構未處理/未改變區的原子。如此,如第8B圖、第8D圖及第8F圖所示,第二沉積層可選擇性在未處理/未改變區形成。或者,頂部814的分子可黏附第一單層材料層820的原子。故如第8A圖、第8C圖及第8E圖所示,第二沉積層可選擇性在處理/改變區形成。
脈衝輸送第一反應氣體混合物時,在熱ALD製程或電漿ALD製程期間,第一反應氣體混合物可依需求同時與、相繼與或不與還原氣體混合物(試劑)(例如氫氣(H2)或NH3氣體)供應至處理腔室634。或者,諸如水、氧、臭氧、過氧化氫等氧化氣體混合物(試劑)可偕同第一反應氣體混合物供應。適合供入處理腔室634的第一反應氣體混合物包括含矽氣體(例如SiH4、Si2H6或其他適合的含矽化合物)和一或更多含鉭氣體、含鈦氣體、含鈷氣體、含鎢 氣體、含鋁氣體、含鎳氣體、含銅氣體、含鉑氣體、含鉿氣體、含鋅氣體,含釕氣體、含硼氣體、含磷氣體、含氮氣體或其他適於沉積單層至適用半導體裝置的基板表面的氣體。所述替代試劑(即沉積製程期間配合反應前驅物使用以形成單層的還原劑)的實例包括氫(例如H2或原子H)、氮(例如N2或原子N)、氨(NH3)、聯胺(N2H4)、氫與氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、上述物質的衍生物、上述物質的電漿或上述物質的組合物。
第一反應氣體混合物脈衝可持續供應一段預定時間。在此所用「脈衝」一詞係指注入處理腔室的材料劑量。在第一反應氣體混合物或第一與第二反應氣體混合物的各脈衝之間,此將進一步說明於後,可在第一及/或第二反應前驅氣體混合物的各個或多個脈衝之間,脈衝輸送淨化氣體混合物至處理腔室,以移除雜質或未反應/未吸著於基板表面的殘餘前驅氣體混合物(例如反應氣體混合物的未反應雜質等),從而抽出處理腔室。
脈衝輸送到處理腔室634的第一反應前驅氣體混合物脈衝可沉積厚度約3埃(Å)至約5Å的第一單層材料層820。
脈衝輸送第一反應前驅氣體混合物期間,亦可控制數個製程參數。在一實施例中,製程壓力控制在約7托耳至約30托耳。處理溫度為約125℃至約450℃。RF功率控制在約100瓦至約2000瓦。供入第一反應氣體混合物的反應氣體控制在約5sccm至約10sccm。還原氣體可按約100sccm至約700sccm供應。
終止脈衝輸送第一反應氣體後,供應第二反應氣體混合物脈衝至處理腔室634,以主要在鰭片結構804的選定部分形成第二單層材料層820。在熱ALD製程或電漿ALD製程期間,第二反應氣體混合物可依需求同時與、相繼與 或不與還原氣體混合物(或試劑)(例如氫氣(H2)或NH3氣體)供應至處理腔室634。咸信利用化學反應使第二單層吸著於第一單層,可讓第二單層的原子牢牢黏附第一單層的原子。
在一實施例中,適合供入處理腔室634的第二反應氣體混合物包括含矽氣體(例如SiH4、Si2H6或其他適合的含矽化合物)和一或更多含氧氣體(例如H2O、O2或O3)、含鉭氣體、含鈦氣體、含鈷氣體、含鎢氣體、含鋁氣體、含鎳氣體、含銅氣體、含鉑氣體、含鉿氣體、含鋅氣體,含釕氣體、含硼氣體、含磷氣體、含氮氣體或其他適於沉積單層至適用半導體裝置的基板表面的氣體。所述替代試劑(即沉積製程期間配合反應前驅物使用以形成單層的還原劑或氧化劑)的實例包括水(H2O)、臭氧(O3)、氫(例如H2或原子H)、氧(例如O2或原子O)、過氧化氫(H2O2)、氮(例如N2或原子N)、氨(NH3)、聯胺(N2H4)、氫與氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、上述物質的衍生物、上述物質的電漿或上述物質的組合物。
第二反應氣體混合物脈衝可持續供應一段預定時間。在第二反應氣體混合物或第一與第二反應氣體混合物的各脈衝或一些脈衝之間,可脈衝輸送淨化氣體混合物至處理腔室,以移除雜質或未反應/未吸著於基板表面的殘餘前驅氣體混合物(例如反應氣體混合物的未反應雜質等)。
脈衝輸送到處理腔室634的第二反應前驅氣體混合物脈衝可沉積厚度約3Å至約5Å的第二單層材料層820。
脈衝輸送第二反應前驅氣體混合物期間,亦可控制數個製程參數。在一實施例中,製程壓力控制在約5托耳至約30托耳。處理溫度為約125℃ 至約450℃。RF功率控制在約100瓦至約800瓦。供入第二反應氣體混合物的反應氣體控制在約5sccm至約20sccm。還原氣體可按約100sccm至約700sccm供應。
在反應前驅氣體混合物的各脈衝之間或數個脈衝之後,接著供應淨化氣體混合物至處理腔室634,以清除處理腔室的殘餘物和雜質。在脈衝輸送淨化氣體混合物期間,亦可控制數個製程參數。在一實施例中,製程壓力控制在約1托耳至約100托耳。處理溫度為約125℃至約450℃。RF功率控制在約100瓦至約800瓦。Ar或N2氣體可按約200sccm至約1000sccm供應。
脈衝輸送淨化氣體混合物後,接著反覆進行始於脈衝輸送第一及/或第二反應氣體混合物、然後脈衝輸送淨化氣體混合物的附加循環,直到獲得預定厚度的材料層820為止。當開始後續循環脈衝輸送第一反應氣體混合物時,製程壓力和其他製程參數可調整成預定大小,以助於沉積後續單層材料層820。
態樣提供形成選擇性沉積的方法,包括進行佈植製程來摻雜3D結構的第一區域,同時形成第一沉積材料、移除第一材料,及沉積第二材料至3D結構上。第二材料可選擇性在3D結構的第二區域成長。是以可獲得具不同預定材料類型形成於結構的不同位置的鰭片結構,特別係如應用到三維(3D)IC形成方式的鰭片結構。
雖然以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例,因此本發明範圍視後附申請專利範圍所界定者為準。

Claims (20)

  1. 一種在一基板上形成一結構的方法,該方法包含下列步驟:進行一佈植製程,以摻雜一3D結構的一第一區域,該3D結構形成在一基板上,同時沉積一第一材料至該3D結構上;移除該第一材料;及沉積一第二材料至該3D結構上,其中該第二材料選擇性在該3D結構的一第二區域成長。
  2. 如請求項1所述之方法,其中該第一區域和該第二區域係一樣的。
  3. 如請求項1所述之方法,其中在該第一區域上進行該佈植步驟包含以下步驟:用多個離子、以一選定離子入射角摻雜該第一材料。
  4. 如請求項1所述之方法,其中進行該佈植製程以摻雜該第一區域之步驟包含以下步驟:在該基板上進行一方向性電漿製程。
  5. 如請求項4所述之方法,其中該方向性電漿製程進一步包含以下步驟:將多個離子主要摻雜到該3D結構的一第一側壁和該3D結構的一頂部,以形成摻雜的該第一區域;及不均勻沉積該第一材料至該基板的一處理區和一未處理區上。
  6. 如請求項5所述之方法,其中該摻雜離子步驟進一步包含以下步驟: 防止佈植離子至該3D結構在該第一材料底下的一第二側壁和一底部。
  7. 如請求項5所述之方法,其中移除該第一材料步驟進一步包含以下步驟:進行一濕式清洗製程,以露出該基板的該處理區和該未處理區。
  8. 如請求項1所述之方法,其中該第二材料係利用原子層沉積、物理氣相沉積和化學氣相沉積的至少一者沉積至該結構上。
  9. 如請求項8所述之方法,其中沉積該第二材料至該3D結構上之步驟進一步包含以下步驟:脈衝輸送一或更多反應氣體,以選擇性沉積該第二材料至該3D結構上。
  10. 如請求項1所述之方法,其中該基板包含一單一材料。
  11. 如請求項1所述之方法,其中該結構係一鰭式場效電晶體的一鰭片結構。
  12. 一種在一基板上形成一鰭片結構的方法,該方法包含下列步驟:進行一方向性電漿製程,以形成一處理層至一基板的一佈植區上和一未處理層至該基板的一非佈植區上;及選擇性沉積一材料層主要至該基板的該佈植區上。
  13. 如請求項12所述之方法,進一步包含以下步驟:進行一清洗製程,以移除該處理層及露出該基板的該佈植區和該非佈植區。
  14. 如請求項12所述之方法,其中選擇性沉積該材料層之步驟包含以下步驟: 進行一原子層沉積製程,以形成該材料層主要至該基板的該佈植區上。
  15. 如請求項12所述之方法,其中進行該方向性電漿製程之步驟進一步包含以下步驟:沉積一第一沉積材料至該基板的一第一區域和一第二區域上;及進行該方向性電漿製程,以主要佈植離子至該基板的該第一區域。
  16. 如請求項15所述之方法,其中該第一區域包括該基板的一第一側壁,且進一步包含:用多個離子、以約0度與60度之間的一離子入射角摻雜該基板的該第一側壁。
  17. 如請求項12所述之方法,其中該結構係一鰭式場效電晶體的一鰭片結構。
  18. 一種在一基板上形成一鰭片結構的方法,該方法包含下列步驟:進行一方向性電漿製程,以形成一處理層至一基板的一佈植區上和一未處理層至該基板的一非佈植區上;及選擇性沉積一材料層主要至該基板的該非佈植區上。
  19. 如請求項18所述之方法,進一步包含以下步驟:進行一清洗製程,以露出該基板的該佈植區。
  20. 如請求項18所述之方法,其中選擇性沉積該材料層之步驟包含以下步驟:進行一原子層沉積製程,以形成該材料層主要至該基板的該非佈植區上。
TW104118640A 2014-07-03 2015-06-09 選擇性沉積的方法與設備 TWI620233B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462020651P 2014-07-03 2014-07-03
US62/020,651 2014-07-03

Publications (2)

Publication Number Publication Date
TW201603124A TW201603124A (zh) 2016-01-16
TWI620233B true TWI620233B (zh) 2018-04-01

Family

ID=55017591

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104118640A TWI620233B (zh) 2014-07-03 2015-06-09 選擇性沉積的方法與設備

Country Status (6)

Country Link
US (1) US9385219B2 (zh)
JP (1) JP6629312B2 (zh)
KR (2) KR102422284B1 (zh)
CN (1) CN106663632B (zh)
TW (1) TWI620233B (zh)
WO (1) WO2016003602A1 (zh)

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9972548B2 (en) * 2015-07-27 2018-05-15 Globalfoundries Inc. FinFET electrical characterization with enhanced hall effect and probe
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9870952B1 (en) * 2017-02-07 2018-01-16 International Business Machines Corporation Formation of VFET and finFET
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10147584B2 (en) * 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102617773B1 (ko) * 2017-06-01 2023-12-22 에이에스엠엘 네델란즈 비.브이. 입자 제거 장치 및 관련 시스템
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10763104B2 (en) * 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11053580B2 (en) 2018-02-21 2021-07-06 Varian Semiconductor Equipment Associates, Inc. Techniques for selective deposition using angled ions
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7110468B2 (ja) * 2018-05-28 2022-08-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102656701B1 (ko) 2018-10-04 2024-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131783A1 (en) * 2018-12-17 2020-06-25 Applied Materials, Inc. Pvd directional deposition for encapsulation
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112793A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Conformal doping in p3i chamber
US20100264497A1 (en) * 2009-04-21 2010-10-21 International Business Machines Corporation Multiple Vt Field-Effect Transistor Devices
TW201405659A (zh) * 2012-06-12 2014-02-01 Novellus Systems Inc 採用SiOC類薄膜之基於遠程電漿的沉積
TW201411845A (zh) * 2012-05-18 2014-03-16 諾發系統有限公司 透過電漿活化原子層沉積及保形膜沉積之保形摻雜

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240875A (en) * 1992-08-12 1993-08-31 North American Philips Corporation Selective oxidation of silicon trench sidewall
JP2001144077A (ja) * 1999-11-15 2001-05-25 Applied Materials Inc プラズマ処理装置及び方法
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
KR20060000344A (ko) * 2004-06-28 2006-01-06 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 제조 방법
CN2775070Y (zh) * 2005-04-08 2006-04-26 中国航空工业第一集团公司北京航空制造工程研究所 材料表面离子注入及沉积的复合偏压装置
KR100829922B1 (ko) * 2006-08-24 2008-05-16 세메스 주식회사 플라즈마 처리 장치 및 방법
US20080111185A1 (en) 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
WO2008136882A2 (en) * 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US8039379B1 (en) * 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
KR101481574B1 (ko) * 2008-02-13 2015-01-14 삼성전자주식회사 반도체 소자의 제조 방법
US8202792B2 (en) 2009-04-24 2012-06-19 Varian Semiconductor Equipment Associates, Inc. Method of processing a substrate having a non-planar surface
US8679960B2 (en) * 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US8263446B2 (en) 2010-09-13 2012-09-11 International Business Machines Corporation Asymmetric FinFET devices
US8598025B2 (en) 2010-11-15 2013-12-03 Varian Semiconductor Equipment Associates, Inc. Doping of planar or three-dimensional structures at elevated temperatures
US8580100B2 (en) * 2011-02-24 2013-11-12 Massachusetts Institute Of Technology Metal deposition using seed layers
US20120263887A1 (en) 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US8871584B2 (en) * 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US8846545B2 (en) * 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112793A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Conformal doping in p3i chamber
US20100264497A1 (en) * 2009-04-21 2010-10-21 International Business Machines Corporation Multiple Vt Field-Effect Transistor Devices
TW201411845A (zh) * 2012-05-18 2014-03-16 諾發系統有限公司 透過電漿活化原子層沉積及保形膜沉積之保形摻雜
TW201405659A (zh) * 2012-06-12 2014-02-01 Novellus Systems Inc 採用SiOC類薄膜之基於遠程電漿的沉積

Also Published As

Publication number Publication date
KR102422284B1 (ko) 2022-07-15
US9385219B2 (en) 2016-07-05
JP2017528923A (ja) 2017-09-28
WO2016003602A1 (en) 2016-01-07
CN106663632B (zh) 2020-09-22
KR102342328B1 (ko) 2021-12-21
KR20170026599A (ko) 2017-03-08
TW201603124A (zh) 2016-01-16
JP6629312B2 (ja) 2020-01-15
KR20220019710A (ko) 2022-02-17
US20160005839A1 (en) 2016-01-07
CN106663632A (zh) 2017-05-10

Similar Documents

Publication Publication Date Title
TWI620233B (zh) 選擇性沉積的方法與設備
TWI603388B (zh) 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
JP7293211B2 (ja) 高エネルギー原子層エッチング
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US8501605B2 (en) Methods and apparatus for conformal doping
TWI543239B (zh) 具有非平面基底表面的基底處理方法
TWI665735B (zh) 針對半導體元件應用之先進3d特徵的製造所用之轉換製程
US8975603B2 (en) Systems and methods for plasma doping microfeature workpieces
US20150380526A1 (en) Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
JP5558480B2 (ja) P3iチャンバにおける共形ドープの改善
US20160141202A1 (en) Air gap formation in interconnection structure by implantation process