TWI557258B - 藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積 - Google Patents

藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積 Download PDF

Info

Publication number
TWI557258B
TWI557258B TW103105686A TW103105686A TWI557258B TW I557258 B TWI557258 B TW I557258B TW 103105686 A TW103105686 A TW 103105686A TW 103105686 A TW103105686 A TW 103105686A TW I557258 B TWI557258 B TW I557258B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
carbon
exposing
decaneization
Prior art date
Application number
TW103105686A
Other languages
English (en)
Other versions
TW201437410A (zh
Inventor
陳勁文
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201437410A publication Critical patent/TW201437410A/zh
Application granted granted Critical
Publication of TWI557258B publication Critical patent/TWI557258B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Description

藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積
本發明之實施例大體而言係關於半導體製造,而且更具體言之係關於形成碳摻雜氧化矽膜的方法。
隨著元件尺寸縮小,越來越需要以精確的厚度控制來沉積超薄的膜。對於將被用於製造的製程來說,該製程必須表現出可重複性和可再現性。製程工具必須能夠在許多晶圓上重複地沉積出相同的厚度,而且該製程在配置相同的不同工具上必須具有可再現性。對於具有相對較小厚度(例如小於100埃)並在相對較大基板(例如直徑450毫米的晶圓)上的層來說,厚度均勻性的控制變得甚至更加困難。
因此,需要沉積具有精確厚度的薄材料層。
本發明之實施例大體而言係關於形成碳摻雜氧化物膜的方法。該方法通常包括使用電漿在基板的表面上產生羥基,然後在基板的表面上進行矽烷化。然後使用電漿再生基板表面上的羥基,以進行另外的矽烷化。可以進行多次的電 漿處理和矽烷化,以沉積具有所需厚度的層。
在一個實施例中,一種沉積碳摻雜氧化矽膜的方法,包含以下步驟:使基板曝露於第一電漿處理;使該基板曝露於含水氣體,以在該基板之表面上形成羥基;斷開水分子與該基板之該表面的鍵結;在該基板之該表面上進行矽烷化;以及將該表面再生用於另外的矽烷化。該再生包含以下步驟:使該基板曝露於第二電漿處理;及使該基板曝露於該含水氣體,以在該基板之該表面上形成羥基。
在另一個實施例中,一種在基板上形成元件的方法,包含在低介電常數材料上沉積碳摻雜氧化矽層。該碳摻雜氧化矽層係藉由循環性地進行以下的操作所沉積:(a)使該基板曝露於電漿處理;(b)使該基板曝露於含水氣體,以形成羥基;以及(c)使用該等羥基進行矽烷化反應。
100‧‧‧處理室
102‧‧‧腔室主體
104‧‧‧腔室蓋
106‧‧‧基板支座
108‧‧‧處理區域
110‧‧‧通道或開口
112‧‧‧基板升降機
114‧‧‧基座
116‧‧‧致動器
118‧‧‧支撐構件
120‧‧‧開口
122‧‧‧氣體入口
124‧‧‧氣體歧管
126A-C‧‧‧高速閥
128‧‧‧氣體管線
130‧‧‧前驅物管線
132‧‧‧泵送氣室
134‧‧‧襯墊
136‧‧‧邊緣環
150‧‧‧內部體積
166‧‧‧上表面
168‧‧‧下表面
170‧‧‧下壁
172‧‧‧軸
174‧‧‧射頻電源
176‧‧‧基板接觸區域
178‧‧‧側壁
180‧‧‧開口
194‧‧‧端口
200‧‧‧流程圖
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
302A-F‧‧‧表面層
330‧‧‧基板
650‧‧‧元件
651‧‧‧基板
652‧‧‧金屬層
653‧‧‧阻障層
654‧‧‧開口
655‧‧‧溝槽特徵
656‧‧‧第一遮罩層
657‧‧‧第二遮罩層
658‧‧‧低介電常數材料
659‧‧‧碳摻雜氧化矽膜
660‧‧‧ALD阻障層
790A-C‧‧‧點
791A-C‧‧‧點
792‧‧‧點
為詳細瞭解上述本發明的特徵,可以參照實施例來對以上簡單概述的本發明作更具體的描述,其中一些實施例被圖示在附圖中。然而,應注意的是,附圖說明的只是本發明的典型實施例,因而不應將附圖說明視為是對本發明範圍作限制,因本發明可認可其他同樣有效的實施例。
第1圖為適用於實施本發明之實施例的處理室之剖視圖。
第2圖為依據本發明的一個實施例用於沉積碳摻雜氧化矽膜的方法操作之流程圖。
第3A-3F圖圖示依據本發明的一個實施例在形成碳 摻雜氧化矽膜的過程中的基板。
第4A-4F圖圖示依據本發明的另一個實施例在形成碳摻雜氧化矽膜的過程中的基板。
第5圖圖示使用DMATMS作為矽烷化劑的矽烷化反應之實例。
第6圖為使用本發明的實施例形成的元件之局部剖視圖。
第7圖為圖示依據本發明的一個實施例在三個羥基化/矽烷化循環的過程中基板表面的水接觸角之曲線圖。
為了便於理解,已在可能處使用相同的元件符號來指稱對於圖式為相同的元件。構思的是,可以將一個實施例的元件和特徵有益地併入其他的實施例中而無需進一步詳述。
本發明之實施例大體而言係關於形成碳摻雜氧化物膜的方法。該方法通常包括使用電漿在基板的表面上產生羥基,然後在基板的表面上進行矽烷化。然後使用電漿再生基板表面上的羥基,以進行另外的矽烷化。可以進行多次的電漿處理和矽烷化,以沉積具有所需厚度的層。
第1圖為依據一個實施例的處理室100之剖視圖。處理室100具有腔室主體102和腔室蓋104,腔室蓋104耦接至腔室主體102,以界定出內部體積150。基板支座106位在腔室100的內部體積150中。基板支座106的上表面166和腔室蓋104的下表面168界定出處理區域108,其中位在基板 接觸區176的基板曝露於處理環境,基板接觸區176在基板支座106的上表面166上。
基板經由腔室主體102的基板通道或開口110進出處理室100。在第1圖的剖視圖中,基板通道或開口110是在剖面平面的後面、在腔室100的「背面」。基板支座106可以沿著腔室100的縱軸移動,以將基板支座106交替地定位在基板裝卸位置和基板處理位置,在基板裝卸位置時,基板支座106的上表面166靠近開口110,在基板處理位置時,基板支座106的上表面166靠近腔室蓋104的下表面168。在第1圖的視圖中,基板支座106被圖示在基板處理位置。當基板支座106位在基板處理位置時,基板支座106的上表面166和腔室蓋104的下表面168之間的距離為約2mm至約8mm。基板支座106的軸172通常延伸通過腔室主體102的下壁170中的開口120並耦接到升降機構(未圖示),以致動基板支座106的移動。
基板升降機112被設置為穿過基板支座106。基板升降機112具有基座114,基座114與致動器116接觸,致動器116位在腔室100的內部體積150的下部區域中。致動器116被支撐構件118支撐在下壁170上。致動器116可以是環形構件,例如一個環,並且支撐構件118可以是突出自致動器116的環形突出部。致動器116、支撐構件118或上述兩者皆可以被交替地分段。例如,致動器116和支撐構件118中任一者或兩者皆可以是分段的環形構件,或是致動器116可以是被定位來接合基板升降機112之基部114的襯墊、支柱 或轉軸。
支撐構件118將致動器116保持在大致上與基板支座106的上表面166成平行的關係。當基板支座106被從處理位置移動到基板裝卸位置時,基板升降機112的基部114會接觸致動器116,使得基板升降機112突出穿過基板支座106的上表面,並將位在基板支座106上的基板升舉到該上表面上方,以讓基板裝卸機器人(未圖示)通過開口110裝卸基板。在第1圖的視圖中只有看到兩個基板升降機112,但是典型的實施例將具有三個或更多個分散來對基板裝卸提供穩定定位的基板升降機112。
腔室蓋104可以是電極,而且可以被耦接到射頻電源174。假使腔室蓋104是電極,則腔室蓋104通常將包括導電材料。腔室蓋104可以完全或大體上由導電材料製成,或是可以塗覆有任何便利程度的導電材料。假使腔室蓋104被用來作為電極,則腔室蓋104的下表面168將是導電性的,以提供射頻耦合進入靠近基板支座106之上表面166的處理區域108。在一個實施例中,腔室蓋104是鋁。處理室100適用於在處理室100中產生電漿,例如電容式耦合電漿。
氣體歧管124在端口194被耦接到腔室蓋104。製程氣體經由氣體管線128被輸送到腔室。複數個高速閥126A-C控制經由氣體管線128進入腔室100的氣流。高速閥可以是ALD閥,並且在一些實施例中高速閥能夠在不到1秒內打開或關閉,而且在某些情況下高速閥能夠在不到0.25秒內打開或關閉。前驅物管線130被耦接到其中一個高速閥 126A-C。其他的高速閥可以被用來加入其他的前驅物管線(第1圖中未看見),以將氣體輸送通過氣體管線128。高速閥的操作使腔室操作所需的快速氣流切換能夠進行,該腔室操作例如ALD沉積循環。
腔室蓋104具有氣體入口122,氣體入口122位於腔室蓋104的周邊區域,並與端口194和氣體歧管124流體連通。氣體入口122可以位於基板支座106的基板接觸區域176之外。邊緣環136被配置在基板支座106的周邊區域周圍。邊緣環136可以是具有內部尺寸和外部尺寸的環形構件。邊緣環136的內部尺寸可以大致上與基板接觸區域176的尺寸相同,使得放置在基板支座上的基板停留在邊緣環136內,如第1圖所示。邊緣環136的內部尺寸也可以比基板接觸區域176的尺寸更大。邊緣環136的內部尺寸也可以比基板接觸區域176更小,使得邊緣環136的一部分延伸超出基板的邊緣。當基板支座106處在處理位置時,第1圖中的邊緣環136停留在基板支座106上。因此,當在處理位置時,基板支座106也支撐邊緣環136。
泵送氣室132位在腔室主體102的側壁178中,該側壁178靠近基板支座106的處理位置。泵送氣室132是圍繞處理區域108的環形通道,處理氣體被從處理區域108排空到泵送氣室132。襯墊134將泵送氣室132與處理區域108分開。襯墊134具有開口180,開口180允許製程氣體從處理區域108流入泵送氣室132。當基板支座106在處理位置時,開口180通常位在基板支座106的上表面166下方。
第2圖為依據本發明的一個實施例用於沉積碳摻雜氧化物膜的方法操作之流程圖200。第3A-3F圖圖示依據本發明的一個實施例在形成碳摻雜氧化物膜的過程中的基板。為了便於說明本發明的實施例,將同時說明第2圖和第3A-3F圖。
流程圖200開始於操作202。在操作202,第3A圖中圖示的基板330被定位在處理室中,該處理室例如第1圖中圖示的處理室100。基板可以是例如上面具有氧化矽層或碳摻雜氧化矽層的矽晶圓。圖示的基板330包括由碳摻雜氧化矽製成的表面層302A。
在操作204,在基板330上進行電漿處理。電漿處理包括使基板330曝露於由氧、氨或二氧化碳形成的電漿。電漿處理藉由破壞一些矽-甲基鍵來促進羥基的形成(如關於操作206所描述的),而且在一些實施例中,將氧加到表面層302A來形成表面層302B,如第3B圖所圖示。是否進一步將氧引到表面層302A係取決於操作204是否使用含氧電漿。此外,氧的摻入程度係取決於用以產生電漿的RF功率電平以及用來產生電漿的氣流速率和濃度與電漿的曝露時間。
在一個實例中,可以藉由提供750sccm的氧氣和2500sccm的氬氣到腔室中以及施加50瓦特的RF功率產生電漿,以產生含氧電漿。可以將基板保持在約200攝氏度的溫度,並且腔室的壓力可以是約3托。在另一個實例中,RF功率可以在約10瓦至約1000瓦之間,例如60瓦。可以以約100sccm和約5000sccm之間的流速(例如約150sccm)將NH3 氣體提供到腔室中。可以將惰性氣體(例如N2)以約100-30000sccm的流速(例如27000sccm)提供到腔室中。可以將基板保持在約25-400攝氏度的溫度,例如約200度。可以將壓力保持在約1-10托的範圍內,例如4.2托。曝露時間可以在約1秒至約60秒的範圍內,例如4-10秒。
在操作206中,基板330被曝露於含水氣體,以促進羥基在基板330的表面上形成,從而形成表面層302C。含水氣體可以包括蒸汽或使用水蒸氣產生器(WVG)產生的水蒸汽。在一個實例中,水蒸汽氣體的流速可為約1sccm至約1000sccm,例如約10sccm。
在操作208中,藉由降低處理室內的壓力及/或藉由升高處理室內的溫度來使存在於基板330表面上、不需要的水分子脫附並斷鍵。然後可以從處理室排出揮發性成分。第3C圖圖示的基板330上面並未包括任何鍵結的或吸附的水分子,因為可能並不總是存在水分子。因此,在一些實施例中,操作208可以是選擇性的。
在操作210中,藉由使基板330曝露於矽烷化劑來進行矽烷化反應,該矽烷化劑例如(二甲基胺基)三甲基矽烷(DMATMS)。矽烷化的結果導致來自羥基的氫被三甲基矽烷側基取代,如第3D圖中的表面層302D所圖示。矽烷化反應將單層的三甲基矽烷基添加到基板330的上表面上。該反應導致氧化矽層形成,該氧化矽層中由於三甲基矽烷的甲基存在而摻有一些碳,因而形成了碳摻雜的氧化矽層。使用上述的矽烷化反應可以形成不連續的碳摻雜氧化矽單層,從而允 許在基板上可再現地沉積相對薄的層。
在一個實例中,在操作210的過程中,將基板保持在約25攝氏度至約400攝氏度的範圍內的溫度下,例如約75攝氏度。將壓力保持在約100毫托至約760托的範圍內,例如6托。矽烷化劑係由以約0.1至約4.0標準升/分鐘(slm)的流速(例如約2slm)提供的惰性氣體所攜帶的。惰性氣體可以是氦氣、氬氣或雙原子氮氣中之一或更多者。矽烷化劑係以約0.1克/分鐘至約4.0克/分鐘的速率提供。可以使基板曝露於矽烷化劑持續約5至約300秒,例如約60秒。
應當注意的是,矽烷化反應消耗了存在於表面層302C中的羥基,因此最終不會發生進一步的矽烷化。為了促進進一步的矽烷化,從而增加形成在基板330上的膜之厚度,應該對表面層302D進行處理以誘導表面層302D上形成另外的羥基(例如再生)。在操作212中,使基板330接受類似於操作204的電漿處理。電漿處理促進一或更多個甲基被從表面層302D去除,從而產生表面層302E。被去除的甲基之數量係取決於用以產生電漿的RF功率電平、以及用以產生電漿的氣體流速和濃度、及電漿的曝露時間。一般來說,形成更多的羥基允許更多的矽烷化反應發生。因此,可以藉由控制羥基的形成來控制所形成的層之形成速率和厚度,而羥基的形成係取決於操作212的電漿處理。
在操作212的電漿處理之後,在操作214中使基板330曝露於水,類似於操作206。在操作214曝露於水導致羥基形成在表面層302F上,如第3F圖所圖示。表面層302F內 生成的羥基促使基板330表面進行另外的矽烷化。可以重複操作208、210、212及214,例如進行約10至約100個循環,直到在基板330上形成了所需厚度的碳摻雜氧化矽層。因為每個循環的矽烷化只沉積一個單層,所以可以將相對薄的材料層沉積到可再現的精確厚度。在已經將碳摻雜矽層沉積到隨後的厚度之後則終止製程,並且矽烷化操作(例如操作210)通常是最終的操作。
第2圖和第3A-3F圖圖示一個實施例;然而,還可以構思其他的實施例。在另一個實施例中,構思的是可以省略操作206。在這樣的實例中,構思的是可以藉由在操作204的過程中使基板330曝露於含氫的電漿(例如氨)而在基板330上形成羥基。在另一個實施例中,構思的是可以在操作204及/或212的過程中使基板330曝露於臭氧氣體而不是電漿。在這樣的實施例中,使基板330曝露於臭氧氣體促進了矽-氧鍵的斷裂及/或另外的氧摻入基板330的表面。在另一個實施例中,可以使紫外線(UV)輻射撞擊在基板上來進行操作204、206、208、210、212及214,以促進各個操作。
在另一個實施例中,構思的是可以基於操作210使用的矽烷化前驅物來調整所沉積的碳摻雜氧化矽膜中的碳濃度。例如,在矽烷化的過程中利用含有更多碳的經取代矽烷基導致額外的碳被摻入所沉積的碳摻雜氧化矽層。在一個實例中,矽烷基可以被甲基、乙基、丙基或更高級的碳烷基取代。在另一個實施例中,構思的是操作204、206、208及210、212和214可以在相同的處理室或在不同的處理室中進行。在 另一個實施例中,碳摻雜的矽層可以具有約10%或更低的孔隙度。
在另一個實施例中,構思的是操作204和206及/或212和214可以被具有氧化化學反應(例如O2或CO2電漿)的第一處理及隨後使用還原化學反應(例如NH3電漿)進行處理來替換。這樣一個實施例提供了至少兩個潛在的益處:(1)可能不需要利用水來在基板表面上形成羥基,及(2)可以藉由在氧化化學反應之後進行還原化學反應來將銅表面(例如經由底部)保持為基本上不含氧化物。或者,第4A-4F圖圖示依據本發明的另一個實施例在形成碳摻雜氧化矽膜過程中的基板。第4A-4F圖類似於第3A-3F圖,然而,第4D圖的矽烷化使用與第3D圖圖示的不同的矽烷化劑。還應當注意的是,第4A-4F圖也對應於流程圖200,與第3A-3F圖類似。
第4A圖圖示起始基板330,基板330可以被定位在腔室中,如關於操作202所類似描述的。第4B圖圖示在電漿處理之後的基板330,如關於操作204所類似描述的。第4C圖圖示曝露於水之後的基板330,如關於操作206所類似描述的。第4D圖圖示在矽烷化過程中的基板。在第4D圖圖示的矽烷化過程中,使用了雙官能性矽烷化劑,例如雙(二甲基胺基)二甲基矽烷(BDMADMS)。雙官能性矽烷化劑的使用增強了沉積膜的交聯。在第4E圖中進行第二電漿處理,如關於操作212所類似描述的。在第4F圖中使基板330曝露於水,如關於操作214所類似描述的。
第4圖圖示沉積碳摻雜氧化矽膜的一個實施例;然而,還可以構思其他的實施例。在另一個實施例中,構思的是可以將雙官能性矽烷化劑與單官能性矽烷化劑(例如DMATMS)一起使用或以特定的順序使用。第5圖圖示使用DMATMS作為矽烷化劑的矽烷化反應之實例。
第6圖為使用本發明的實施例形成的元件650之局部剖視圖。元件650包括基板651,例如矽晶圓,基板651中形成有內連線或金屬層652。在一個實例中,金屬層652可以由銅或另一種導電材料形成。介電質阻障層653,例如氮化矽或碳氮化矽層被設置在基板651的上表面上。阻障層653中包括開口654,以曝露出金屬層652的表面。低介電常數材料658,例如碳摻雜氧化矽被設置在阻障層的上表面上,並包括形成在其中的溝槽特徵655,例如雙鑲嵌結構。在一個實例中,低介電常數材料可以是介電常數約2.2-2.5的多孔材料,例如可向美國加州聖克拉拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,California)取得的Black Diamond ®。
第一遮罩層656和第二遮罩層657被配置在低介電常數材料658上方,以促進雙鑲嵌結構形成。碳摻雜氧化矽膜659被形成在溝槽特徵655內的低介電常數材料658之曝露表面(例如側壁)上。可以例如使用關於第2圖所描述的製程來形成碳摻雜氧化矽膜659。可以循環地進行第2圖的製程,以沉積所需厚度的碳摻雜氧化矽膜,例如約10-20埃。碳摻雜氧化矽膜659可以具有在約2.7至約3.9範圍內的介電常 數,例如約2.7至約3.3。
碳摻雜氧化矽膜659減少或防止金屬或含金屬前驅物擴散或遷移進入低介電常數材料658,否則就會不良地提高低介電常數材料658的k值。在一個實例中,為了便利元件650內的電連接,溝槽特徵655可以填充有銅或另一種導電材料(未圖示)。為了防止銅擴散進入低介電常數材料658,現有的元件在低介電常數材料658的表面上包括PVD阻障層。然而,隨著元件尺寸縮小,無法形成理想厚度(例如約10-20埃或更薄)的PVD阻障層同時保持足夠的均勻性(及隨之而來的阻障性能)。
至於PVD阻障層的替代選擇,已經嘗試了ALD阻障。然而,ALD前驅物很容易迅速地擴散進入低介電常數材料658,尤其是當低介電常數材料658是多孔的,從而提高了低介電常數材料658的k值。
然而,本發明的實施例經由矽烷化來促進碳摻雜氧化矽膜659的形成。碳摻雜氧化矽膜659在相對較小的厚度下(例如約10埃)不會遭遇沉積均勻性的問題,PVD形成的阻障層亦然。另外,形成碳摻雜氧化矽膜659不會提高低介電常數層658的k值,但當使用ALD含金屬前驅物時就會提高低介電常數層658的k值。在一個實例中,可以藉由在碳摻雜氧化矽膜659上的ALD來形成ALD阻障層660,例如含錳或含鉭的阻障層,以減少銅遷移進入低介電常數材料658。 在ALD阻障層660形成之後,可以從元件650移除遮罩656、657,而且可以選擇性地移除與金屬層652接觸的ALD阻障 層660部分。
此外,應當注意的是,碳摻雜氧化矽層659的形成對於低介電常數材料658是有選擇性的(例如在低介電常數材料658上形成的速率遠比在金屬層上形成的速率更快,該金屬層例如銅、鈷、釕或鎢),因此,碳摻雜氧化矽層659不會不良地形成在金屬層652上。在一個實例中,該選擇性可以大於約10:1,例如約10:1至約10,000:1。在金屬層652上形成氧化物層會增加金屬層652和隨後配置在金屬層652上面的金屬層之間的接觸電阻,因而對元件的性能產生負面影響。在本發明的實施例中,即使金屬層652的表面在形成碳摻雜氧化矽層659的操作過程中被部分氧化,然而氧化物是經由曝露於電漿(例如在關於第2圖所討論的操作204的過程中)被去除的,因此,接觸電阻不會增加。在一個實施例中,構思的是碳摻雜氧化矽層659可以形成在介電質阻障層653上,取決於介電質阻障層653的組成和選擇用於形成碳摻雜氧化矽層659的製程參數。
第6圖圖示一個實施例;然而,還構思了其他的實施例。在另一個實施例中,構思的是雙鑲嵌結構可以是單一鑲嵌結構或溝槽。
第7圖為圖示依據本發明的一個實施例在三個羥基化/矽烷化循環的過程中基板表面的水接觸角之曲線圖。水接觸角的量測提供了在基板表面上反應的證據。例如,如第3C圖所圖示的SiOH材料是親水性的,並在例如點790A、B、C具有相對小的水接觸角。相反地,如第3D圖所圖示的矽烷化 層是疏水性的,因而具有相對較大的水接觸角,如點791A、B、C所圖示。
對四組不同的操作條件進行水接觸角量測,該等操作條件具有75攝氏度或250攝氏度的矽烷化製程及4秒或10秒的羥基化製程中之任一者。在點790A、B、C和791A、B、C之間較大變化的水接觸角表示更完全並因而理想的反應。參照位於點791B和791C之間的點792,水接觸角的變化為約10度或更小,表示相對少的羥基形成,結果導致在特定循環中形成相對少的碳摻雜氧化矽膜。
本發明的優點大體而言包括具有相對較小厚度的碳摻雜氧化矽膜之可再現沉積,該相對較小厚度例如小於約100埃或小於約10埃。可以藉由使用電漿和水處理來再生基板的表面而經由矽烷化沉積碳摻雜氧化矽層。矽烷化允許準確的逐層沉積,從而有利於形成具有精確厚度的非常薄的層。
雖然前述係針對本發明之實施例,但在不偏離本發明之基本範圍下,亦可設計出本發明之其他與深一層的實施例,且本發明之範圍係由以下申請專利範圍所決定。
200‧‧‧流程圖
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作

Claims (15)

  1. 一種沉積一碳摻雜氧化矽膜的方法,包含以下步驟:使一基板曝露於一第一電漿處理;使該基板曝露於一含水氣體,以在該基板之一表面上形成羥基;斷開水分子與該基板之該表面的鍵結;在該基板之該表面上進行矽烷化;以及將該表面再生用於另外的矽烷化,該再生包含以下步驟:使該基板曝露於一第二電漿處理;及使該基板曝露於該含水氣體,以在該基板之該表面上形成羥基。
  2. 如請求項1所述之方法,其中該再生步驟進一步包含以下步驟:斷開水分子與該基板之該表面的鍵結。
  3. 如請求項1所述之方法,其中使一基板曝露於一第一電漿處理之步驟包括以下步驟:使該基板曝露於一由氧、氨或二氧化碳中之一或更多者形成的電漿。
  4. 如請求項1所述之方法,其中該含水氣體係使用一水蒸汽產生器形成。
  5. 如請求項1所述之方法,其中在該基板之該表面上進行矽烷化之步驟包括以下步驟:使該基板曝露於(二甲基胺基) 三甲基矽烷。
  6. 如請求項1所述之方法,其中該碳摻雜氧化矽膜係被沉積在一低介電常數材料上。
  7. 如請求項6所述之方法,其中該碳摻雜氧化矽膜發揮一阻障層的功能。
  8. 如請求項7所述之方法,其中該碳摻雜氧化矽膜係被形成至一約10埃至約20埃的厚度。
  9. 如請求項1所述之方法,其中該在該基板之該表面上進行矽烷化及該將該表面再生用於另外的矽烷化之步驟係被循環性地進行約10個循環至約100個循環。
  10. 如請求項1所述之方法,其中在該基板之該表面上進行矽烷化之步驟包括以下步驟:使該基板曝露於雙(二甲基胺基)二甲基矽烷。
  11. 一種在一基板上形成一元件的方法,包含以下步驟:在一低介電常數材料上沉積一碳摻雜氧化矽層,該碳摻雜氧化矽層係藉由循環性地進行以下的操作所沉積:(a)使該基板曝露於一電漿處理;(b)使該基板曝露於一含水氣體,以形成羥基;以及 (c)使用該等羥基進行一矽烷化反應。
  12. 如請求項11所述之方法,其中該元件包括一曝露的銅層,而且該碳摻雜氧化矽層在該低介電常數材料上的形成速率比在該曝露的銅層上的形成速率更快。
  13. 如請求項12所述之方法,進一步包含以下步驟:隨後在該碳摻雜氧化矽層上形成一阻障層,該阻障層係藉由原子層沉積或物理層沉積所形成,其中該阻障層為含鉭或含錳層。
  14. 如請求項11所述之方法,其中該電漿處理包括以下步驟:使該基板曝露於一由氨形成的電漿,該使用該羥基進行一矽烷化反應之步驟包括以下步驟:使該基板曝露於(二甲基胺基)三甲基矽烷或雙(二甲基胺基)二甲基矽烷,該含水氣體係使用一水蒸汽產生器形成,該低介電常數材料為一多孔的低介電常數材料。
  15. 如請求項11所述之方法,其中該碳摻雜氧化矽層係被形成至一約10埃至約20埃的厚度,以及在該基板之該表面上進行矽烷化之步驟包括以下步驟:使該基板曝露於(二甲基胺基)三甲基矽烷。
TW103105686A 2013-03-14 2014-02-20 藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積 TWI557258B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361784013P 2013-03-14 2013-03-14

Publications (2)

Publication Number Publication Date
TW201437410A TW201437410A (zh) 2014-10-01
TWI557258B true TWI557258B (zh) 2016-11-11

Family

ID=51625014

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103105686A TWI557258B (zh) 2013-03-14 2014-02-20 藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積

Country Status (3)

Country Link
US (1) US9330900B2 (zh)
TW (1) TWI557258B (zh)
WO (1) WO2014158462A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US11087973B2 (en) * 2016-12-16 2021-08-10 Tokyo Electron Limited Method of selective deposition for BEOL dielectric etch
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
KR102141512B1 (ko) * 2018-09-11 2020-08-05 재단법인 나노기반소프트일렉트로닉스연구단 화학기상증착법을 이용한 다층 그래핀 및 그의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US20070161256A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
US20120329287A1 (en) * 2007-08-28 2012-12-27 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
KR20110125651A (ko) * 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US20070161256A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
US20120329287A1 (en) * 2007-08-28 2012-12-27 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT

Also Published As

Publication number Publication date
US9330900B2 (en) 2016-05-03
WO2014158462A1 (en) 2014-10-02
US20150371846A1 (en) 2015-12-24
TW201437410A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
US10002757B2 (en) Selectively lateral growth of silicon oxide thin film
TWI551715B (zh) 成膜方法
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
TWI497592B (zh) 成膜裝置及成膜方法
TWI498941B (zh) 微細圖形之形成方法及成膜裝置
US8168375B2 (en) Patterning method
US20140011372A1 (en) Film deposition method
TW201610208A (zh) 成膜裝置、成膜方法、記憶媒體
JP2005123275A (ja) 成膜方法及び成膜装置
JP2011066263A (ja) 半導体装置の製造方法および基板処理装置
TWI557258B (zh) 藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積
KR101989657B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20190110033A (ko) 성막 방법 및 성막 장치
JP2017120884A (ja) 保護膜形成方法
JP6869024B2 (ja) パーティクル除去方法及び基板処理方法
CN106653651B (zh) 基板处理装置、基板处理方法以及基板保持构件
JP2016162931A (ja) 基板処理装置及び基板処理方法
KR102396170B1 (ko) 반도체 장치의 제조 방법 및 성막 장치
KR20170132090A (ko) 성막 장치
JP2015056633A (ja) シリコン酸化膜の製造方法
JP2009246405A (ja) 成膜方法
JP2019102780A (ja) 半導体装置の製造方法及び成膜装置
US10961623B2 (en) Film forming method
JP2022109090A (ja) 成膜方法及び成膜システム