TWI488258B - 增強之晶圓載體 - Google Patents

增強之晶圓載體 Download PDF

Info

Publication number
TWI488258B
TWI488258B TW100128966A TW100128966A TWI488258B TW I488258 B TWI488258 B TW I488258B TW 100128966 A TW100128966 A TW 100128966A TW 100128966 A TW100128966 A TW 100128966A TW I488258 B TWI488258 B TW I488258B
Authority
TW
Taiwan
Prior art keywords
wafer
carrier
pocket
wafer carrier
top surface
Prior art date
Application number
TW100128966A
Other languages
English (en)
Other versions
TW201214619A (en
Inventor
Boris Volf
Yuliy Rashkovsky
Original Assignee
Veeco Instr Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instr Inc filed Critical Veeco Instr Inc
Publication of TW201214619A publication Critical patent/TW201214619A/zh
Application granted granted Critical
Publication of TWI488258B publication Critical patent/TWI488258B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Packaging Frangible Articles (AREA)

Description

增強之晶圓載體
本發明係關於晶圓處理設備,關於適用於該等處理設備之晶圓載體,及關於晶圓處理方法。
本申請案係2010年8月13日申請之美國專利申請號12/855,739之連續案,該案之內容因此係以引用的方式併入本文中。
許多半導體裝置係經由半導體材料磊晶生長於基板上形成。該基板一般為呈盤形式之結晶材料,通常稱為「晶圓」。例如,藉由諸如第III至V族半導體之化合物半導體形成之裝置一般係經採用金屬有機化學氣相沉積或「MOCVD」使化合物半導體生長連續層而形成。於該製程中,晶圓係暴露於氣體之組合,通常包括金屬有機化合物及第V族元素之來源,該氣體組合係流經該晶圓表面同時使得該晶圓維持於高溫。第III至V族半導體之一實例為氮化鎵,其可經由有機鎵化合物與氨於具有適宜晶格間距之基板(例如,藍寶石晶圓)上發生反應而形成。通常,於氮化鎵及相關化合物之沉積期間,晶圓係維持於約500至1200℃之溫度下。
複合裝置可藉由於略微不同的反應條件(例如,添加其他第III族或第V族元素以改變晶體結構及半導體之帶隙)下,於晶圓之表面上依序沉積多層而製造。例如,於氮化鎵為主之半導體中,可以不同比例使用銦、鋁或兩者以改變該半導體之帶隙。再者,可添加p型或n型摻雜劑以控制各層之傳導性。於形成所有半導體層之後,及通常,於已施用適宜之電接觸件之後,將該晶圓切割成個別裝置。諸如發光二極體(「LED」)、雷射器及其他電子及光電子裝置之裝置可依此方式製造。
於一典型的化學氣相沉積法中,許多晶圓係固定於通常稱為晶圓載體之裝置上以致各晶圓之頂表面係暴露於該晶圓載體之頂表面。然後,將該晶圓載體置於反應室內繼而維持於所期溫度同時使氣體混合物流經該晶圓載體之表面。極其重要的係在該製程中於該載體上不同晶圓之頂表面所有點均須維持均一條件。反應性氣體組成及晶圓表面溫度之微小變化導致所得半導體裝置之性質發生非所期之變化。例如,若沉積鎵及銦氮化物層,則晶圓表面溫度之變化將導致沉積層之組成及帶隙發生變化。由於銦具有相對高的蒸氣壓,因此,該沉積層將具有較低比例之銦且表面溫度較高之晶圓之其等區域中之帶隙較大。若該沉積層為LED結構之主動發光層,則由晶圓形成之LED之發射波長將亦發生變化。因此,迄今技術上已針對維持均一條件投入相當多的努力。
例如,工業上已廣泛接受之一種CVD設備述於美國專利申請公開案第2010-0055318號中,該案之內容因此係以引用的方式併入本文中。顯示於該公開案中之特定實施例之設備係使用呈大型盤形式之具有許多各適用於固定一個晶圓之晶圓固定區域之晶圓載體。該晶圓載體係承載於位於反應室內之軸桿上因而具有該等晶圓之暴露面之該晶圓載體之頂表面面向上朝向氣體分佈元件。當該軸桿旋轉時,氣體向下導向於該晶圓載體之頂表面且流經該頂表面朝向該晶圓載體之周邊。所用氣體係通過位於該晶圓載體下方之孔自該反應室排出。該晶圓載體係由加熱元件(通常地,位於該晶圓載體底面下方之電阻性加熱元件)於所期高溫。使該等加熱元件維持於高於所期晶圓表面溫度之溫度,然而,氣體分佈元件一般維持於明顯低於所期反應溫度之溫度以防止該等氣體過早反應。因此,熱量係自該電阻性加熱元件傳遞至該晶圓之底面且通過該晶圓載體向上流至該等個別晶圓。
雖然迄今本技術已投入相當多的努力以設計最佳化之該等系統,但仍期望進一步之改良。特定言之,期望提供遍及各晶圓表面之較好溫度均一性及遍及整個晶圓載體之較好溫度均一性。
本發明之一態樣提供處理晶圓之方法。根據本發明之該態樣之方法宜包括使載體繞著軸旋轉之步驟。該載體具有置於其上之複數個晶圓,且該等晶圓之頂表面面向平行於該軸之向上方向。該方法宜包括於該旋轉步驟中使該等晶圓承載於該載體之面向上之支撐表面上及於該旋轉步驟中限制該等晶圓使其不自該等支撐表面向上移開,以及於該旋轉步驟中處理該等晶圓。該處理步驟可包括將熱自該載體傳遞至該等晶圓。例如,可於該旋轉步驟中進行上述化學氣相沉積法。該方法宜進一步包括於該旋轉步驟中限制該等晶圓使其不自該軸徑向移離之步驟。於根據本發明之該態樣之較佳方法中,限制該等晶圓不向上移動限制了晶圓變形對於該載體與該等晶圓間熱傳遞之影響,及因此改良進一步下述之晶圓表面溫度之均一性。
本發明之另一態樣係提供一種晶圓載體。根據本發明之該態樣之晶圓載體宜包括具有對向之頂表面及底表面之本體,該本體具有朝向該本體之該頂表面開放之複數個凹穴。該載體較佳界定出位於在各凹穴內之該本體下方之面向上之頂表面。最佳,根據本發明之該態樣之載體包括與該等凹穴相配合之鎖扣。各鎖扣宜具有面向下之鎖扣表面。當鎖扣係於操作位置時,該鎖扣表面延伸至該相配合凹穴內或其上以致位於該凹穴內並停留於支撐表面上之晶圓將至少部分地被該鎖扣表面限制而不向上移動。
根據本發明之另一態樣之晶圓載體包括具有延伸於水平方向上之對向頂表面及底表面之本體及朝該頂面開放之複數個凹穴,各此種凹穴適用於固定晶圓使晶圓之頂表面暴露於該本體之該頂面。該本體宜包括藉由具有第一導熱率之第一材料形成之主要部分。較佳地,該主要部分具有與該等凹穴對準之垂直外延孔,且該本體進一步包括位於該主要部分之該等孔內之次要部分。該等次要部分較佳係由具有高於該第一導熱率之第二導熱率之第二材料形成。該本體可進一步包括介於該主要部分與各次要部分之間之垂直外延熱障壁,該等熱障壁抑制熱於該主要部分與該次要部分之間之水平方向傳遞。
根據本發明之又一態樣之晶圓載體可包括具有主要部分及次要部分之本體,且額外具有介於該主要部分與各次要部分之間之垂直外延邊緣部分。該等邊緣部分宜具有於垂直方向上不同於該主要部分之導熱率的導熱率。
本發明之又一態樣提供併有如上所述之晶圓載體之處理設備。
根據本發明一實施例之化學氣相沉積設備包括一反應室10,其具有配置於該室一端之一氣體分佈元件12。本文中,具有該氣體分佈元件12之該端係稱為該室10之「頂」端。於標準重力基準框架中,該室之該端一般(但不一定)位於該室之頂部。因此,文中所採用之向下方向係指遠離該氣體分佈元件12之方向;而該向上方向係指於室內朝向該氣體分佈元件12之方向,而不管該等方向是否與重力向上及向下方向對準。類似地,元件之「頂」及「底」表面係參考室10及元件12之基準框架述於本文中。
氣體分佈元件12係連接至欲用於CVD製程之氣體(諸如載氣及反應氣體)源14,諸如第III族金屬源(通常係金屬有機化合物)及第V族元素源(諸如,例如氨或其他第V族氫化物)。該氣體分佈元件係經配置以接收不同氣體且一般將氣流導向向下方向。該氣體分佈元件12亦宜連接至一冷卻系統16,該冷卻系統16係配置為使液體循環通過該氣體分佈元件因而於操作期間使得該元件之溫度維持於所預期之溫度。室10亦配備有一排氣系統18,該排氣系統18係配置為經由位於或接近該室底部之孔(未顯示)自該室內部移去廢氣而使得氣體自該氣體分佈元件以向下方向連續流動。
一軸桿20係配置於該室內以致該軸桿之中心軸22於向上及向下方向上延伸。該軸桿於其頂端(即,位於軸桿最接近該氣體分佈元件12之該端)具有一配件24。於所描述之特定實施例中,該配件24一般為圓錐形元件。軸桿20係連接至配置以使該軸桿繞軸22旋轉之一旋轉驅動機構26(諸如電動機驅動)。一加熱元件28係安裝於該室內且係環繞位於配件24下方之軸桿20。該室亦設有一可打開孔30以使晶圓載體之***及移出。該等前述元件可為習知構造。例如,適宜之反應室係自商業上由本申請案之受讓人Veeco Instruments,Inc.(Plainview,New York,USA)以已註冊商標TURBODISC銷售。
於描繪於圖1中之操作條件中,一晶圓載體32係安裝於該軸桿之該配件24上。該晶圓載體具有包括本體之結構,該本體一般係呈具有垂直於頂表面及底表面延伸之一中心軸25之圓盤形式。該晶圓載體之該本體具有一第一主表面(文中稱為「頂」表面34)及一第二主表面(文中稱為「底」表面36)。該晶圓載體之該結構亦具有配件39,其經配置以咬合該軸桿之該配件24且以使該上表面34向上面向該氣體分佈元件12,該底表面36向下面向加熱元件28並遠離該氣體分佈元件,將該晶圓載體之該本體固定於該軸桿上。僅舉例言之,晶圓載體之本體直徑可為約465 mm,及介於頂表面34與底表面32之間之該載體厚度可為約15.9 mm之等級。於所例示之特定實施例中,該配件39係形成為該本體32之該底表面中之平頭截錐狀凹入部。然而,如審查中之共同讓與之美國專利公開案第2009-0155028 A1號(其內容以引用方式併入本文)所述,該結構可包括與該本體分開形成之輪轂且該配件可併入此種輪轂中。再者,該配件之組態將取決於該軸桿之組態。
該本體宜包括一主要部分38,其係形成為非金屬耐火第一材料之單片,該材料係例如選自由碳化矽、氮化硼、碳化硼、氮化鋁、礬土、藍寶石、石英、石墨及其組合組成之群之材料,且具有或不具有諸如例如碳化物、氮化物或氧化物之耐火塗層。
該載體之該本體界定有複數個朝該頂表面開放之圓形凹穴40。最佳如圖1及3可見,該本體之該主要部分38界定有實質平坦頂表面34。該主要部分38具有自該頂表面34至該底表面36延伸通過該主要部分之孔42。次要部分44係位於各孔42內。位於各孔內之該次要部分44界定了該凹穴40之底表面46,該底表面係凹入低於該頂表面34。該等次要部分44係由較佳為選自由碳化矽、氮化硼、碳化硼、氮化鋁、礬土、藍寶石、石英、石墨及其組合組成之群之非金屬耐火材料之第二材料形成,且具有或不具有諸如例如碳化物、氮化物或氧化物之耐火塗層。該第二材料宜不同於構成該主要部分之該第一材料。該第二材料最好具有高於該第一材料之導熱率之導熱率。例如,該主要部分係藉由石墨形成時,該等次要部分可由碳化矽形成。該等次要部分44及該主要部分38係一起界定出該本體之該底表面36。於描繪於圖3中之特定實施例中,該主要部分38之該底表面為平面,且該等次要部分44之該底表面係與該主要部分之該底表面共平面,因此該底表面36為平面。
該等次要部分44係與該等孔40之壁摩擦性咬合。例如,該等次要部分可壓入配合至孔中,或可藉由使該主要部分升溫達高溫且將冷的次要部分***孔中而收縮配合。較好,所有凹穴具均一深度。該均一性可藉由諸如例如磨削或拋光次要部分使所有該等次要部分形成均一厚度而輕易實現。
各次要部分44與該主要部分38周圍材料之間存有一熱障壁48。該熱障壁為在平行於載體之頂表面及底表面之水平方向上具有導熱率之區域,該熱導率係低於該主要部分之整體材料之導熱率。於描繪於圖3中之特定實施例中,該熱障壁包括由該主要部分38之壁中界定該孔42之凹槽所形成之一宏觀間隙48(諸如例如約100微米或以上厚度之間隙)。該間隙包含諸如空氣或操作期間遭遇之製程氣體之氣體,且因此具有遠比鄰近固體材料低之導熱率。
次要部分44與主要部分38之該等鄰接表面亦界定熱障壁之一些部分。儘管,該等表面於宏觀規模上係彼此鄰接,然而,任一表面均不完全平滑。因此,該等鄰接表面之一些部分之間可存有微觀充氣間隙。該等間隙亦可阻礙該等次要部分44及主要部分38之間之熱量傳導。
最佳如圖3及圖4可見,該載體進一步包括與該等凹穴相配合之鎖扣50。該等鎖扣50較佳係由具有低於該等次要部分44之導熱率及較佳低於該主要部分38之導熱率的導熱率之耐火材料形成。例如,該等鎖扣可由石英形成。各鎖扣包括呈立式圓柱形軸件形式之一中間部分52(圖3)及與該中間部分同軸並自該中間部分之軸向外突起之呈圓盤形式之一底部54。各鎖扣之底部界定一面向上之支撐表面56。各鎖扣進一步包括朝該中間部分之軸橫向突起之一頂部58。該頂部並非係繞著該中間部分52之軸對稱。各鎖扣之該頂部58界定出上覆該鎖扣之該支撐面56然與該支撐表面間隔分開之面向下之鎖扣表面60。因此,各鎖扣界定出介於表面56及60之間之一間隙62。
各鎖扣係緊固於晶圓載體。最佳如圖3及5可見,各鎖扣之該中間部分52係壓抵該主要部分之該孔42之壁。該底部54係延伸至該孔之壁中之一下切口64(圖3)內,因此限制該鎖扣使其不相對於晶圓載體本體垂直移動,且因此該底部50停留於凹穴之該底面46上。如圖5可見,該主要部分38可具有自孔42之壁延伸至該凹穴內之突起部分66以限制該鎖扣使其不於水平方向上移動。
利用圖3及4中所示操作性位置中之該等鎖扣,各鎖扣之該頂部58係向內朝著凹穴中心68突起。各鎖扣可轉向至其中該頂部係如圖5中虛線58'所描繪旋轉之非操作位置,以致該頂部不會向內朝著該凹穴中心突起。
各凹穴50設有3個鎖扣50。鎖扣50a(文中稱為「內」鎖扣)係位於離載體本體之該中心軸25距離D50A (圖4)之位置,該距離D50A 係小於自凹穴中心68至該中心軸25之距離DC 。鎖扣50b及50c為「外」鎖扣,彼等係以使得離載體之該中心軸25之距離大於自該中心軸至該凹穴中心68之距離DC 之形式配置。於所描繪之特定配置中,該等鎖扣係繞著該凹穴40之周邊彼此隔開,且鄰近鎖扣間之間隔相等。該內鎖扣50a係位於延伸穿過該載體之該中心軸25及該凹穴中心68之徑向線R上,然而,此等兩個外鎖扣50b及50c係位於該徑向線之相對側上。
於操作中,該載體係載有圓盤狀晶圓70。利用與位於其非操作位置之各凹穴相配合之該等鎖扣50中之一或多者,將晶圓配置於該凹穴內以使該晶圓底表面72停留於該等鎖扣之該等支撐表面56上。該等鎖扣之該等支撐面係以協同方式將該晶圓底表面72支撐高出該凹穴之該底表面46,因而該晶圓底表面及該凹穴底表面之間存有一間隙73(圖3),及因此該晶圓之該頂表面74係與該載體之該頂表面34共平面或接近共平面。選擇載體之尺寸(包括該等鎖扣)以致該晶圓邊緣或周邊表面76及該等鎖扣之該等中間部分52之間存有極小的空隙。該等鎖扣之該等中間部分因此使得該晶圓位於該凹穴之中心,以致該晶圓之該邊緣及該凹穴之該壁之間之距離DW 於該晶圓之該周邊係實質均一。
將該等鎖扣引至該等操作位置,以致各鎖扣之該頂部58及該面向下之鎖扣表面60(圖3)向內突起至該凹穴上及因此突起至該晶圓之該頂面74上。該等鎖扣表面60係位於高於該支撐面56之垂直水平上。因此,該晶圓係咬合於該等支撐面56及該等鎖扣表面之間,且限制相對於該載體的向上或向下移動。該等鎖扣之頂部及底部元件宜儘可行地小,以致該等元件僅接觸鄰近各晶圓周邊之該等晶圓表面之極小部分。例如,該等鎖扣表面及支撐面可僅咬合該等晶圓表面之數平方毫米。
通常,晶圓係載於載體上,而該載體係位於反應室的外部。其上具有該等晶圓之該載體係採用習知自動化設備(未顯示)載於該反應室內,以使該載體之該配件39與該軸桿之該配件24咬合,及該載體之該中心軸25係與該軸桿之軸22一致。軸桿及載體係繞著該共同軸旋轉。根據所採用之特定製程,該旋轉可為每分鐘數百轉或更高。
該等氣體源14經啟動以提供製程氣體及載氣至該氣體分佈元件12,因此該等氣體向下流向晶圓載體及晶圓,且一般係徑向向外流經該載體之該頂表面34上及該等晶圓之該等暴露頂表面74上。該氣體分佈元件12及室10之壁係維持於相對低溫,以防止該等氣體於該等表面發生反應。
加熱器28係經啟動以將載體及晶圓加熱達所需之製程溫度,就某些化學氣相沉積法而言,該溫度可為約500至1200℃。熱主要係藉由輻射熱傳遞自該加熱器轉移至該載體本體之該底表面36。熱經由傳導向上流動通過該載體本體之該主要部分38到達該本體之該頂面34。熱亦向上流動通過該晶圓載體之該等次要部分44,跨越介於該等凹穴之該等底表面及該等晶圓之該等底表面間之該等間隙73,繼而通過該等晶圓到達該等晶圓之該等頂表面74。熱係藉由輻射自本體及晶圓之該等頂表面轉移至室10之壁且到達該氣體分佈元件12,且亦轉移至該等製程氣體。
該等製程氣體於晶圓之頂表面發生反應以處理該等晶圓。例如,於化學氣相沉積法中,該等製程氣體於晶圓頂表面上形成沉積物。通常,該等晶圓係由結晶材料形成,及該沉積製程係磊晶沉積具有類似於該晶圓材料之晶格間距之結晶材料。
就加工均一性而言,各晶圓之頂表面溫度於整個晶圓頂表面應為恆定,且等於載體上其他晶圓之溫度。為達成此點,各晶圓之該頂表面74之溫度應等於該載體頂表面34之溫度。該載體頂表面之溫度係取決於熱轉移通過該本體之該主要部分38之速率,然而,該晶圓頂表面之溫度係取決於熱轉移通過該等次要部分44、該間隙73及晶圓本身之速率。該等次要部分44之高導熱率及所得低熱阻補償該等間隙73之高熱阻,因此使得該等晶圓頂表面維持於實質上等於該載體頂表面溫度之溫度。此點使得該等晶圓之邊緣及該載體之周圍部分間之熱轉移最小化且因此有助於使各晶圓之整個頂表面維持溫度均勻。為提供該效果,該等凹穴之底表面46之溫度必須高於該主要部分38之鄰近部分。介於本體之該等次要部分44及該主要部分38之間之該熱障壁48使得自該等次要部分44至該主要部分之熱損耗降至最低,且因此有助於維持該溫差。
於操作期間,由於載體旋轉產生之離心力,各晶圓易該載體之該中心軸25移開。各晶圓係藉由該等鎖扣之該等中間部分52確切維持於該凹穴之中心。該等離心力迫使各晶圓壓靠該等外鎖扣50b及50c之該等中間部分52。該等部分係充當限制晶圓使其不向外移動之鄰接元件。該晶圓之確實位於中心使得該晶圓之邊緣及該凹穴之周圍壁間之距離DW 維持均一,且避免該晶圓與該凹穴壁直接接觸。此使得該晶圓及該載體之間之熱轉移最小化,且亦有助於確保確實產生之任何熱以該晶圓為中心實質上徑向對稱地轉移。
於操作期間,晶圓可由平盤變形至半球形。例如,具有與晶圓之結晶材料之未變形晶格間距略微不同之未變形晶格間距之結晶材料進行磊晶沉積對該晶圓之該頂表面施加張力或壓縮應力,且該晶圓產生變形以釋放該應力。圖6描繪如圖3所示之相同晶圓及凹穴,且該晶圓70變形至半球狀形狀。該變形致使該晶圓之中心彎曲朝向或離開該凹穴之該底表面46,且因此導致介於該等晶圓底面72及底面46之間之該間隙73之高度發生變化。於該晶圓之該等邊緣由該等鎖扣50限制向上移動下,該間隙之高度差ΔH相對小;由下式給出:
ΔH=K*d2 /8
其中:
K為晶圓曲率;及
d為該晶圓之直徑。
於圖7所示之習知晶圓載體中,該凹穴具有一下切口周邊壁142及一圓形支撐表面156。該晶圓係停留緊靠著離該載體之中心軸最遠之周邊壁之一外部142a。該下切口周邊壁固定該晶圓之該外部101向下緊靠該支撐表面156。然而,離該載體之中心軸最近之該晶圓之該內部103未被限制相對於該載體向上移動,以致該晶圓之曲率導致該內部103向上抬起,從而離開該支撐表面156。此點導致介於該晶圓底表面172及該凹穴底表面146之間之該間隙173之高度差ΔH'極大。採用習知晶圓載體:
ΔH'=K*d2 /2。
換言之,藉由鎖扣(圖3)限制之晶圓之邊緣之差ΔH僅為利用習知載體之差ΔH'之1/4。由於跨越間隙之熱轉移速率係直接隨著該間隙之高度而改變,因此,間隙高度差之戲劇性減小提供熱轉移至該晶圓之不同部分之差異對應縮減。此外,利用鎖扣(圖3)限制晶圓下,間隙之高度及因此熱轉移係以徑向對稱於該晶圓之中心之狀態而改變。於限制晶圓時,由於晶圓邊緣之該內部並未被抬起,因此,將不會有因該晶圓之邊緣突起高出該載體之該頂表面所致之該等晶圓載體及晶圓頂表面之氣流干擾。
該等鎖扣本身可引起氣流之小的局部化干擾。藉由使該等鎖扣(且特定言之係該等鎖扣之該等頂部58)儘可能小及儘可能薄使該現象最小化。再者,該等鎖扣之該等頂部較佳具有流線型形狀。有些少量熱係傳導轉移通過該等鎖扣,然該效應係受限於該等鎖扣及該晶圓之間接觸之小區域及該等鎖扣之低導熱率。
上述組態可改變。例如,如上所述之鎖扣可利用具有單一本體而不含上述該等次要部分之晶圓載體予以使用。再者,該等鎖扣、支撐表面及接合元件之組態可自如上所述予以改變。描繪於圖8至10中之晶圓載體具有限定凹穴240之一單一本體232。各凹穴內之面向上支撐表面係由停留於該凹穴之該底表面246上之呈盤狀鈕扣形式之複數個小支撐元件254所界定。該等支撐元件係分佈於該凹穴之周邊周圍。
各凹穴亦具有一鎖扣250。該鎖扣係可滑動安裝至載體本體以供朝向及遠離載體之該中心軸225之方向上移動。該鎖扣具有一晶圓咬合表面260(圖8及10),其係以向下方向傾斜離開凹穴之中心268。換言之,相較於該表面之上部,表面下部268更位於遠離凹穴之中心268及較接近離載體之該中心軸225。因此,表面260面向下朝向該底表面246以及內內朝向凹穴之中心。該載體具有一通道202,如圖9中之截面圖可見,其具有鳩尾或實質上梯形形狀。鎖扣250具有對應之形狀。該鎖扣係咬合於該通道中以致該鎖扣可於虛線250'所示之非操作位置及實線所示之操作位置之間移動。於操作位置中,具有咬合表面260之鎖扣之末端係突起於凹穴中並超出該凹穴之該垂直壁242因而表面268承載於該凹穴中所接收之晶圓270之上邊緣上。載體旋轉產生之離心力迫使鎖扣遠離該中心軸225且因此朝向該凹穴中心268。因此,隨著載體旋轉,鎖扣250向下固定該晶圓之該內部253且迫使該晶圓與支撐結構254咬合。為了圖解之清晰度起見,放大該鎖扣之尺寸。實務上,接觸晶圓之鎖扣之該等部分應為儘可行地小以使通過該鎖扣之熱轉移最小化。
各凹穴亦具有鄰接元件252。相較於凹穴之中心268,該等鄰接元件係位於離載體之該中心軸225較遠之處。該等鄰接元件具有以向下方向傾斜離該中心軸225之表面269。於操作中,晶圓上之離心力易迫使該晶圓壓靠表面269,因而該等鄰接元件固定該晶圓之該外部251向下壓靠於支撐結構254。該等鄰接元件可個別地由載體本體形成或可與載體一體成形。
於另一變體(圖11)中,載體本體之次要部分344可藉由以石英或具有低於該主要部分及次要部分之傳導性之導熱率之另一種材料形成之襯套348安裝至該主要部分338。此處同樣地,該次要部分宜具有較該主要部分高之導熱率。該襯套係充當介於該次要部分及該主要部分之間之熱障壁之一部分。襯套與次要部分之間及襯套與主要部分之間之固體對固體界面提供額外之熱障壁。於該變體中,該襯套界定出凹穴之該垂直壁342。
圖12之實施例類似於以上參照圖1至6所述之實施例,但各次要部分444包括直徑小於該主要部分438中該對應孔442之本體443,因而提供一間隙448作為熱障壁。各次要部分亦包括親密配合於該主要部分438中之一頭445以維持該主要部分及該孔442之同心性。
圖13之晶圓載體包括類似於以上參照圖1至6所述之載體之該主要部分及次要部分544。然而,圖13之該載體本體包括環繞該等次要部分且置於各次要部分及該主要部分之間之環狀邊界部分502。該等邊界部分502具有不同於主要部分及次要部分之導熱率之導熱率。如所例示,該等邊界部分係對準於各凹穴之邊緣下方。於另一變體中,該等邊界部分可對準於環繞各凹穴之該頂表面534之一部分下方。該等邊界部分之導熱率可經獨立選擇以抵消到達或源自晶圓邊緣之熱轉移。例如,該頂表面534之該等部分易比晶圓更熱之情況下,該等邊界部分之導熱率可低於該主要部分之導熱率。
以上所論述之晶圓載體及設備可顯著減小遍及晶圓表面之溫度差。然而,即使具有上述特徵,亦可產生一些溫度不均一性。由於該溫度分佈一般係徑向對稱於各晶圓之中心,因此,可輕易應用趨於抑制溫度差之其他量測。例如,如審查中之共同讓與之美國專利申請公開案第2010-0055318號(其內容係以引用方式併入本文)中所揭示,晶圓載體之導熱性可隨著厚度改變而改變。例如,晶圓趨於彎曲朝向圖6中所示凹穴之中心之凹穴底表面之情況下,凹穴中心之間隙之導熱性將高於接近凹穴邊緣之間隙之導熱性。此點可藉由增加該凹穴中心下方之本體區域中之載體本體厚度而抵消,以便減小該區域之導熱性。
由於可利用以上所述特徵之該等及其他變體及組合,較佳實施例之前述發明說明應視為說明,而非限制本發明之範圍。
工業應用
例如,本發明可應用於半導體裝置之製造中。
9-9...線
10...反應室
10-10...線
12...氣體分佈元件
14...氣體源
16...冷卻系統
18...排氣系統
20...軸桿
22...中心軸
24...配件
25...中心軸
26...旋轉驅動機構
28...加熱元件(加熱器)
30...可開孔
32...圓形載體(本體)
34...頂表面
36...底表面
38...主要部分
39...配件
40...(圓形)凹穴/孔
42...孔
44...次要部分
46...底表面
48...熱障壁/宏觀間隙
50...鎖扣
50a...內鎖扣
50b...外鎖扣
50c...外鎖扣
52...中間部分
54...底表面
56...支撐表面
58...頂部
58'...虛線
60...面向下鎖扣表面
62...間隙
64...下切口
66...突起部分
68...凹穴中心
70...(圓盤狀)晶圓
72...晶圓底表面
73...間隙
74...(暴露)頂表面
76...晶圓邊緣或周邊表面
101...外部
103...內部
142...(周邊壁)外部
142a...下切口周邊壁
156...(圓形)支撐表面
172...晶圓底表面
202...通道
225...中心軸
232...單一本體
240...凹穴
242...垂直壁
246...底表面
250...鎖扣
250'...虛線
251...外部
252...鄰接元件
253...內部
254...支撐表面(結構)
260...晶圓咬合表面
268...凹穴中心/表面
269...表面
270...晶圓
338...主要部分
342...垂直壁
344...次要部分
348...襯套
442...(對應)孔
443...本體
444...次要部分
448...間隙
502...(環狀)邊界部分
534...頂表面
544...次要部分
D50A ...距離
DC ...距離
DW ...距離
圖1為描繪根據本發明一實施例之化學氣相沉積設備之簡化示意性剖視圖。
圖2為用於圖1之該設備中之晶圓載體之圖解俯視圖。
圖3為描述結合晶圓之晶圓載體之沿圖2中線3-3得到之局部圖解剖面圖。
圖4為描繪圖2及3之晶圓載體之一些部分之局部俯視圖。
圖5為描繪圖4所示區域之放大標度之部分圖。
圖6為類似圖3然描繪圖1至5之晶圓載體與晶圓於不同操作條件中之視圖。
圖7為類似圖6然描繪習知晶圓載體與晶圓於類似於圖6之操作條件中之視圖。
圖8為描繪根據本發明另一實施例之晶圓載體之局部俯視圖。
圖9為沿圖8中線9-9得到之放大標度之局部剖面圖。
圖10為沿圖8中線10-10得到之放大標度之局部剖面圖。
圖11、12及13為描繪根據本發明其他實施例之晶圓載體之一些部分之局部圖解剖視圖。
68...凹穴中心
70...(圓盤狀)晶圓
72...晶圓底表面
73...間隙

Claims (29)

  1. 一種包括具有相對向之頂表面及底表面之本體之晶圓載體,該本體具有朝該本體之該頂表面開放之複數個凹穴,該載體界定出面向上之支撐面,其係位於各凹穴內之該本體之該頂表面下方,該載體進一步包括與該等凹穴相配合之鎖扣,各鎖扣具有面向下之鎖扣表面且具有其中該鎖扣表面係延伸於該相配合凹穴內或其上之操作位置,因而位於該凹穴內及停留於該支撐面上之晶圓將至少部分地由該鎖扣表面限制其向上移動。
  2. 如請求項1之晶圓載體,其中該等鎖扣中之至少一些為可移動鎖扣,各此種可移動鎖扣係可相對該載體本體自該鎖扣之操作位置移動至其中該鎖扣不妨礙晶圓負載於相配合凹穴內之非操作位置。
  3. 如請求項2之晶圓載體,其中該本體具有於頂表面及底表面間延伸之中心軸及適用於與晶圓處理設備之可旋轉軸桿咬合之於該中心軸上之配件,該等凹穴各具有中心,該等鎖扣中之至少一些為內鎖扣,各該內鎖扣之鎖扣表面於其操作位置係位於其與該中心軸之徑向距離係小於該中心軸至該相配合凹穴中心之徑向距離處。
  4. 如請求項3之晶圓載體,其中該載體界定各凹穴內之接合件,該接合件係位於高於該凹穴內之支撐表面且低於頂表面之高度處,於各凹穴內之該接合件係適用於咬合位於該凹穴內之晶圓之邊緣且限制該晶圓防止水平移動。
  5. 如請求項4之晶圓載體,其中於各凹穴內之該接合件包括複數個間隔分開之接合元件。
  6. 如請求項5之晶圓載體,其中該等接合件部分包括至少兩個接合元件,其等係位於該凹穴之一部分內且與中心軸之徑向距離係大於該中心軸與該凹穴中心之徑向距離。
  7. 如請求項5之晶圓載體,其中該等鎖扣界定各凹穴內之該等接合元件中之至少一者。
  8. 如請求項7之晶圓載體,其中該等凹穴一般為圓形及複數個該等鎖扣係與各該等凹穴配合,與各凹穴配合之該等鎖扣係於該凹穴周圍彼此隔開。
  9. 如請求項2之晶圓載體,其包含中心軸,其中與各凹穴配合之該內鎖扣中之一者係位於自該軸延伸至該凹穴中心之徑向線上。
  10. 如請求項2之晶圓載體,其中該本體界定各凹穴內之底表面,該底表面係位於低於該凹穴內之支撐表面的高度處。
  11. 如請求項10之晶圓載體,其中該等鎖扣界定各凹穴內之該支撐面之至少一部分。
  12. 如請求項11之晶圓載體,其中各鎖扣包括界定該鎖扣之鎖扣表面之頂部,界定相配合凹穴內之部分支撐面之底部,及延伸於該等頂部及底部之間之中間部分,該鎖扣之該頂部及底部係自該等中間部分突起且界定其等間之間隙,因而當該鎖扣位於其操作位置時,晶圓可突起至 該間隙中。
  13. 如請求項12之晶圓載體,其中各鎖扣係可相對該本體繞著大致垂直的鎖扣軸於非操作位置與操作位置之間旋轉,當該鎖扣位於操作位置時,該鎖扣之該頂部及底部自該鎖扣軸突起至相配合凹穴內。
  14. 如請求項1之晶圓載體,其中該等鎖扣具有較界定該等凹穴之該本體部分低的導熱率。
  15. 一種包括具有於水平方向上延伸之對向頂表面及底表面之本體及朝向該頂表面開放之複數個凹穴之晶圓載體,各此凹穴係適於固定晶圓而使晶圓頂表面暴露於該本體之該頂表面,該本體包括由具有第一導熱率之第一材料形成之主要部分,該主要部分具有與該等凹穴對準之垂直外延孔,該本體進一步包括由具有高於該第一導熱率之第二導熱率的第二材料形成之次要部分,該等次要部分係位於該主要部分之該等孔內,該本體具有介於該主要部分與各次要部分之間之垂直外延熱障壁,該等熱障壁抑制熱量於水平方向中於該主要部分與該次要部分之間傳導。
  16. 如請求項15之晶圓載體,其中該等次要部分界定出凹入低於該頂表面之該等凹穴之底表面,該等次要部分亦界定該底表面之一些部分。
  17. 如請求項15之晶圓載體,其中該等熱障壁包括介於該等次要部分與該等主要部分之鄰接面之間之界面。
  18. 一種包括具有於水平方向延伸之對向頂表面及底表面之 本體及朝向該頂表面開放之複數個凹穴之晶圓載體,各該凹穴係適於固定晶圓,而使晶圓頂表面暴露於該本體之該頂表面,該本體包括具有與該等凹穴對準之垂直外延孔之主要部分,該本體進一步包括位於該主要部分之該等孔中之次要部分,該本體具有介於該主要部分與各次要部分之間之垂直外延邊緣部分,該等邊緣部分於垂直方向具有不同於該主要部分之導熱率的導熱率。
  19. 如請求項18之晶圓載體,其中該等次要部分具有不同於該主要部分且不同於該等邊緣部分之導熱率。
  20. 如請求項18之晶圓載體,其中該等凹穴為圓形。
  21. 一種晶圓處理設備,其包括如請求項1之晶圓載體:腔室;位於該腔室內繞著垂直軸旋轉之軸桿,該晶圓載體係安裝於隨其旋轉之軸桿上;一位於該晶圓載體上方之氣體入口元件;及一位於該腔室內且適於傳遞熱至該晶圓載體之底表面之加熱器。
  22. 一種處理晶圓之方法,該方法包括以下步驟:(a)使載體繞著軸旋轉,該載體具有置於其上之複數個晶圓,且該等晶圓之頂表面係面向平行於該軸之向上方向;(b)於旋轉步驟中,使該等晶圓承載於面向上之支撐表面上且限制晶圓防止從該等支撐表面向上移開;及(c)於該旋轉步驟中,處理該等晶圓。
  23. 如請求項22之方法,其中該處理步驟包括自該載體傳遞熱至該等晶圓之步驟。
  24. 如請求項22之方法,其於旋轉步驟中進一步包括限制該等晶圓防止其從該軸徑向移開之步驟。
  25. 如請求項22之方法,其中限制該等晶圓防止其從支撐表面向上移開之該步驟包括限制該等晶圓之內部防止其向上移動,各晶圓之內部係位於該晶圓之中心與該軸之間。
  26. 如請求項22之方法,其中該晶圓載體具有頂表面及朝向該頂表面開放之凹穴,該等支撐表面係位於該等凹穴內且於旋轉步驟中該等晶圓之頂表面實質上係與該晶圓載體之該頂表面共平面。
  27. 如請求項26之方法,其中各凹穴具有位於該凹穴內低於該支撐表面之底表面,且各晶圓之底表面與固定該晶圓之凹穴之底表面之間存有間隙,自該載體傳遞熱至該等晶圓之步驟包括使熱傳遞通過該等間隙。
  28. 如請求項25之方法,其中該等晶圓於旋轉及處理步驟中發生變形。
  29. 如請求項1至14中任一項之晶圓載體,其中每一鎖扣包含咬合至放置於凹穴中之晶圓邊緣之靜態接合表面,且該面向下之鎖扣表面係可相對該靜態接合表面自其中該鎖扣不妨礙晶圓負載於相配合凹穴內之非操作位置移動至操作位置。
TW100128966A 2010-08-13 2011-08-12 增強之晶圓載體 TWI488258B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/855,739 US8535445B2 (en) 2010-08-13 2010-08-13 Enhanced wafer carrier

Publications (2)

Publication Number Publication Date
TW201214619A TW201214619A (en) 2012-04-01
TWI488258B true TWI488258B (zh) 2015-06-11

Family

ID=44630557

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100128966A TWI488258B (zh) 2010-08-13 2011-08-12 增強之晶圓載體

Country Status (8)

Country Link
US (1) US8535445B2 (zh)
EP (1) EP2603927A1 (zh)
JP (1) JP5926730B2 (zh)
KR (1) KR101885747B1 (zh)
CN (1) CN103168353B (zh)
SG (2) SG187838A1 (zh)
TW (1) TWI488258B (zh)
WO (1) WO2012021370A1 (zh)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130298831A1 (en) * 2012-05-14 2013-11-14 Bassam Shamoun Automated process chamber cleaning in material deposition systems
US20140084529A1 (en) * 2012-09-26 2014-03-27 Chae Hon KIM Wafer carrier with pocket
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
GB201301124D0 (en) * 2013-01-22 2013-03-06 Oxford Instr Nanotechnology Tools Ltd Substrate carrier
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) * 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN103215563A (zh) * 2013-04-28 2013-07-24 光垒光电科技(上海)有限公司 沉积设备以及旋转装置
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016099826A1 (en) * 2014-12-19 2016-06-23 Applied Materials, Inc. Edge ring for a substrate processing chamber
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
TWI714574B (zh) * 2015-04-13 2021-01-01 德商柯恩梅爾碳集團有限公司 Pecvd載具
USD778247S1 (en) * 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107326342A (zh) * 2017-08-02 2017-11-07 中晟光电设备(上海)股份有限公司 用于mocvd设备中的石墨盘
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182310A1 (en) * 2001-07-04 2004-09-23 Johannes Kaeppeler CVD device with substrate holder with differential temperature control
US20090155028A1 (en) * 2007-12-12 2009-06-18 Veeco Instruments Inc. Wafer carrier with hub
US20100055320A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method and storage medium

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2014500B (en) * 1977-12-01 1982-02-10 Dobson C D Apparatus for use with vacuum chambers
JPS58128724A (ja) 1982-01-27 1983-08-01 Hitachi Ltd ウエハ反転装置
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPH0680633B2 (ja) * 1985-09-04 1994-10-12 富士通株式会社 気相成長装置
JPH04110466A (ja) 1990-08-31 1992-04-10 Oki Electric Ind Co Ltd ウエハホルダー
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JPH06310438A (ja) * 1993-04-22 1994-11-04 Mitsubishi Electric Corp 化合物半導体気相成長用基板ホルダおよび化合物半導体気相成長装置
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
FR2746115B1 (fr) 1996-03-15 1998-05-22 Support de substrats pour installation d'evaporation
JP3923576B2 (ja) 1996-12-13 2007-06-06 東洋炭素株式会社 気相成長用サセプター
JP3887052B2 (ja) 1996-12-13 2007-02-28 東洋炭素株式会社 気相成長用サセプター
JP2001510640A (ja) 1997-10-03 2001-07-31 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体サブストレートのためのホルダ及びこのようなホルダを使用して半導体装置を製造する方法
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US6287385B1 (en) 1999-10-29 2001-09-11 The Boc Group, Inc. Spring clip for sensitive substrates
US20020170673A1 (en) * 2000-04-29 2002-11-21 Tanguay Michael J. System and method of processing composite substrates within a high throughput reactor
CN1312326C (zh) * 2000-05-08 2007-04-25 Memc电子材料有限公司 消除自动掺杂和背面晕圈的外延硅晶片
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP4537566B2 (ja) * 2000-12-07 2010-09-01 大陽日酸株式会社 基板回転機構を備えた成膜装置
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
JP3882141B2 (ja) * 2002-06-13 2007-02-14 日鉱金属株式会社 気相成長装置および気相成長方法
JP2004128271A (ja) 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US7622803B2 (en) * 2005-08-30 2009-11-24 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems
KR100735613B1 (ko) 2006-01-11 2007-07-04 삼성전자주식회사 이온주입설비의 디스크 어셈블리
US8603248B2 (en) 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
TW200952115A (en) * 2008-06-13 2009-12-16 Huga Optotech Inc Wafer carrier and epitaxy machine using the same
EP2562290A3 (en) * 2008-08-29 2016-10-19 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
JP5453768B2 (ja) * 2008-11-05 2014-03-26 豊田合成株式会社 化合物半導体製造装置、化合物半導体の製造方法、および化合物半導体製造用治具
JP2010129764A (ja) * 2008-11-27 2010-06-10 Nuflare Technology Inc サセプタ、半導体製造装置および半導体製造方法
JP5141541B2 (ja) * 2008-12-24 2013-02-13 株式会社Sumco エピタキシャルウェーハの製造方法
JP2010239020A (ja) 2009-03-31 2010-10-21 Bridgestone Corp 半導体装置用ウエハホルダ
US20110049779A1 (en) 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
JP5882918B2 (ja) * 2010-02-24 2016-03-09 ビーコ・インストゥルメンツ・インコーポレイテッド 温度分配制御装置を用いる処理方法および処理装置
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182310A1 (en) * 2001-07-04 2004-09-23 Johannes Kaeppeler CVD device with substrate holder with differential temperature control
US20090155028A1 (en) * 2007-12-12 2009-06-18 Veeco Instruments Inc. Wafer carrier with hub
US20100055320A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method and storage medium

Also Published As

Publication number Publication date
KR20130097184A (ko) 2013-09-02
SG187838A1 (en) 2013-03-28
WO2012021370A4 (en) 2012-04-05
US8535445B2 (en) 2013-09-17
CN103168353B (zh) 2016-08-03
SG10201406101PA (en) 2014-10-30
US20120040097A1 (en) 2012-02-16
JP2013541183A (ja) 2013-11-07
CN103168353A (zh) 2013-06-19
EP2603927A1 (en) 2013-06-19
WO2012021370A1 (en) 2012-02-16
KR101885747B1 (ko) 2018-08-06
TW201214619A (en) 2012-04-01
JP5926730B2 (ja) 2016-05-25

Similar Documents

Publication Publication Date Title
TWI488258B (zh) 增強之晶圓載體
US20190157125A1 (en) Wafer carrier having thermal cover for chemical vapor deposition systems
TWI397113B (zh) 具有可變熱阻之晶圓載體
US10167571B2 (en) Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
KR20130037688A (ko) 열 특징부를 갖는 웨이퍼 캐리어
EP2543063B1 (en) Wafer carrier with sloped edge
KR20160003441U (ko) 31 포켓 구성을 갖는 웨이퍼 캐리어
EP3100298B1 (en) Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
JP2016526303A (ja) 熱均一性を増大する特徴部を有する改良型ウェハキャリア
KR20160003442U (ko) 14 포켓 구성을 갖는 웨이퍼 캐리어
WO2012082323A1 (en) Sectional wafer carrier
US20190295880A1 (en) Chemical vapor deposition wafer carrier with thermal cover
TW202314929A (zh) 具有控制熱隙之托架及蓋體限制配置的晶圓載具總成
TWM567957U (zh) 晶圓載體
US20240102166A1 (en) Wafer carrier assembly with improved temperature uniformity
KR102509205B1 (ko) 에피택셜 웨이퍼 제조 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees