TWI470729B - 破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法 - Google Patents

破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法 Download PDF

Info

Publication number
TWI470729B
TWI470729B TW101116209A TW101116209A TWI470729B TW I470729 B TWI470729 B TW I470729B TW 101116209 A TW101116209 A TW 101116209A TW 101116209 A TW101116209 A TW 101116209A TW I470729 B TWI470729 B TW I470729B
Authority
TW
Taiwan
Prior art keywords
wafer
damaged
substrate
tip
controller
Prior art date
Application number
TW101116209A
Other languages
English (en)
Other versions
TW201302584A (zh
Inventor
Craig Lyle Stevens
David Eric Berkstresser
Wendell Thomas Blonigan
Original Assignee
Orbotech Lt Solar Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Orbotech Lt Solar Llc filed Critical Orbotech Lt Solar Llc
Publication of TW201302584A publication Critical patent/TW201302584A/zh
Application granted granted Critical
Publication of TWI470729B publication Critical patent/TWI470729B/zh

Links

Classifications

    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47LDOMESTIC WASHING OR CLEANING; SUCTION CLEANERS IN GENERAL
    • A47L9/00Details or accessories of suction cleaners, e.g. mechanical means for controlling the suction or for effecting pulsating action; Storing devices specially adapted to suction cleaners or parts thereof; Carrying-vehicles specially adapted for suction cleaners
    • A47L9/28Installation of the electric equipment, e.g. adaptation or attachment to the suction cleaner; Controlling suction cleaners by electric means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/04Cleaning by suction, with or without auxiliary action
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法
本案主張美國臨時申請案第13/115,064號(2011年5月24日申請)之優先權,該美國申請案之專利說明書全部作為本案之參考。
本發明是關於一種系統及方法,用來在一潔淨環境中處理基板,例如為半導體、太陽能電池及其他應用之矽晶圓。更具體地來說,本發明是關於一種用以處理破損基板,特別是破損矽晶圓的系統及方法。
相關技術
最先進的半導體晶圓製造系統通常利用一中央處理機,在其近旁另有多數處理腔室環繞安裝。其他系統,特別是被用以製造太陽能電池的系統,則建置成直線形的系統。在此系統中,基板是以直線方式從一腔室運送至下一個。不論使用的結構為何,在運送過程中某些位置,晶圓必須從大氣環境移送至真空環境。這些都是為了將該晶圓移送進入一真空處理腔室內,進行例如化學氣相沈積(VCD)、電漿輔助化學氣相沈積(PECVD)及物理氣相沈積(PVD)等處理。
在許多用以製造積體電路之系統,晶圓是各別從一腔室移動至一腔室。反之,在製造太陽能電池時,許多直線形系統是利用托盤,在托盤上放置多數的矽晶圓。該托盤能以線性方式從一腔室移至另腔室,也可以將晶圓分開移送,再放置於固定的托盤上,以在每一腔室中多數的矽晶圓可放置在單一的托盤上同時進行處理。單一的托盤可以放置例如,64個各為125毫米乘以125毫米的基板。
如上所述,這些種類的系統在潔淨室中,以嚴格的處理規範操作。然而,不論是在處理中或是在運送中,還是偶爾會有一晶圓在該系統內破損。這種破損產生破損碎片、顆粒及粉塵,足以造成污染及引發生產中斷。通常而言,當此種破損發生時,該系統必須停止運作,並將系統拆解,以執行手動清理。此種中斷從製造生產率角度來看是極為昂貴的,且也需要以人工清理。對於系統生產率之影響在太陽能電池的製造時更形嚴重,因為通常每一系統之生產率約為每小時一千至三千個晶圓。
以下對本發明之簡述,目的乃在對本發明之各種特徵及面向作一基本說明。本發明之簡述並非對本發明之詳細表述,因此其目的不在特別列舉本發明之主要或關鍵性元件,也不是用以限定本發明之範圍。其唯一之目的是在以簡要之方式展示本發明之數種概念,作為其下段本發明較詳細說明之前言。
本發明的數種實施例,提供了一種系統及方法,用來偵測、回收及清理破損基板。本發明之實施例,特別適用在利用托盤攜帶矽晶圓之製造系統。本發明之實施例能從製造系統中,移除破損晶圓與顆粒,而無需拆解該系統,也無須使用人工。
根據本發明數種實施例,乃是提供一種破損基板移除系統,供一基板處理系統使用。該破損基板移除系統包括:一備有一入口之吸頭;一移動該吸頭至基板破損位置之安置裝置;一抽氣泵及一撓性管,耦接該吸頭至該抽氣泵。 一防護罩位於該吸頭之入口處,在該防護罩之底部設置壓縮延伸部,以讓空氣流入該入口,並預防從該托盤至該防護罩之熱傳導。多數可動銷在該吸頭之入口處延伸,以將晶圓破碎成更小碎片,以利移除。該吸頭安置裝置可建置成一 第一龍門起重架,以提供沿一方向的直線運動,及一第二龍門起重架,以提供一直交方向的直線運動。另一種作法是將該吸頭安置裝置建置成一可旋轉之樞軸,以提供旋轉運動,及一臂狀物,以提供直線運動。一停放及清理台使該吸頭在沒使用時可以停放,並清理該吸頭所移除之破損碎片。光學感測器,如數位相機,耦接至一控制器以偵測破損晶圓,並監督及/或控制該安置裝置,以安置該吸頭至該破損晶圓所在處之上方,以及確認該破損晶圓已在操作後成功移除。
根據本發明數種實施例,乃是提供一種用以從一製造系統內支撐多數晶圓之平盤上移除破損晶圓之碎片之方法,該方法包括:分析一光學信號,以決定該多數晶圓中之一是否破損;如判斷結果為有一破損晶圓占據該平盤上一位置時,將該平盤移送至一交換台,安置一吸頭於該破損晶圓位置之上方,並啟動一抽氣泵以移除該破損晶圓之碎片。另一種作法是,如判斷結果為有一破損晶圓占據該平盤上一位置時,將該平盤移送至一第二光學感測器之位置,以確認有一破損晶圓在該平盤上。在另一實施例,在處理前先分析送入的晶圓,以判斷晶圓是否有破裂或損傷。將可疑的晶圓從該送入的托盤上移除,以使晶圓在該處理裝置內部破損的可能性降到最低。
本發明之其他面向及特徵可由以下對本發明之各種實施例的詳細說明,而更形清楚。該等面向與特徵均在所附申請專利範圍所界定之本發明範圍及精神之內。
本發明之各種實施例提供一種回收在製程中破損之基板或晶圓碎片之裝置及方法。該製程可例如為:半導體積體電路、太陽能電池、平板顯示器及發 光二極體等。本發明之實施例特別適用於利用托盤輸送並/或加工晶圓之系統。
圖1A顯示用於執行本發明實施例之處理系統之例子。但須了解,其他結構及其他系統也可用於執行本發明,且圖1A中顯示之該系統只提供為範例。為說明方便起見,該圖中只有顯示位於一簡單線性系統一端之單一處理腔100。在該實施例中,該處理腔為一電漿處理腔,例如:一電漿輔助化學氣相沈積(PECVD)或物理氣相沈積(PVD)處理腔。該處理腔100之側面有一真空閥102,以供將托盤104送入該處理腔100。處理腔100之側面有一進料腔110,真空閥112即設置在該進料腔110之入口處。進料腔110之入口處側有一裝載腔120,亦稱其為工廠介面,用於裝載托盤104以供處理,並從該系統卸載已處理之晶圓。
在此將進一步闡述圖1A顯示之晶圓處理流程,先從晶圓裝載至該系統右側開始。一裝載有基板之托盤104裝載至裝載腔120內。請注意,該托盤可能並不離開該系統,而晶圓則是在該系統內裝載到該托盤上。但也可在系統外部先將晶圓裝載到該托盤,再將托盤移送並裝載至裝載腔120。該托盤104可能運載著,舉例來說,64個基板,排列成一二維陣列。該托盤接著被引進至進料腔110,將閘門閥102及112關閉,並將真空幫浦通電以將該進料腔110抽真空,達到與處理腔100相同或足夠接近的真空程度。接著將閥門102開啟,且將該托盤移至該處理腔100內,進行處理。也就是,當晶圓在處理腔100內加工時,該晶圓依然留在該托盤104上。加工完成後,即執行相反的作業,從該處理腔100及該進料腔110移除該托盤104,以移除該已加工之晶圓,並裝載新的晶圓以備加工。
圖1B描繪一種與圖1A相似的系統,圖中與圖1A中所顯示相似的元件,均標以相似的編號。在圖1B的實施例中,該托盤104並未移動至該進料腔110。在圖1B的實施例中,在裝載腔120內,晶圓是從托盤104上移除,並裝載至一特殊設計之晶圓掛架118或119上。已經裝載晶圓的掛架,如118,接著移至進料腔110內,並隨之將該閥門112關閉。接著使進料腔110達到真空狀態。一旦達到適當真空等級,閥門102即開啟,並該晶圓掛架移至處理腔100內,在該腔中將該晶圓從該晶圓掛架上卸除,放置於一基板座108之上。接著將該晶圓掛架從該處理腔100中移除,移回至該裝載腔110,並將該閥門102關閉。其後對該處理腔100供電,以處理在該處理腔內之該基板。
此外,在上述程序發生之同時,另一個晶圓掛架119是位於該裝載腔120內,在此裝載新的基板。該裝載掛架119接著被移至該進料腔110內,將閥門102關閉,並抽真空。當處理腔100內之加工已完成時,將閥門102開啟並將晶圓掛架118從進料腔110移至處理腔100內,以接收已加工之基板。而將掛架119則從進料腔110移至處理腔100內,以排放新基板至處理腔100內,進行加工。當兩個掛架皆已移回至進料口110時,將閥門102關閉,並對處理腔100供電,以加工該新晶圓。其後使該裝載110回到大氣壓,隨即將閥門112開啟,並將掛架118移至裝載腔120,以卸載已加工之晶圓,並裝載新基板來加工。
圖2A為根據本發明實施例之系統結構主要構成元件之概要示意圖,顯示該破損基板回收系統安裝於圖1A及1B所示系統之工廠介面上。在圖2A中,與圖1A相似之元件,均標以相似編號,差別在圖2A中的元件編號都是以2開頭。在圖2A中,裝載腔220裝有一第一感測裝置244,一旦該裝載腔已接 收一組新的晶圓時,第一感應裝置244就會接收到從控制器205傳來之信號,指示其偵測進入及/或位在該進料腔210內的托盤204上,是否有破損的晶圓。在該實施例中,感測裝置244為一光感測器或一相機,裝置在如下文所述的破損晶圓回收系統之上。同時,第二感測裝置246是用以偵測在該處理腔200內之破損晶圓。在該實施例中,感測裝置246為一相機,耦接至該控制器205之影像處理器及其一顯示器。在該實施例中,感測裝置246位於該進料腔210內,且已定方向以在閘閥202開啟時,對該處理腔200之內部取像。可提供一照明光源232,用來對該感測裝置246所取像的區域照明。照明光源232可位於該進料腔210之內,也可位於該處理腔外部,並透過窗戶233等照亮其內部。在控制器205內之該影像處理器利用影像處理程式來判斷在該處理腔200內之一晶圓是否已破損。而該控制器205之顯示器則提供操作人員可以確認或變更該影像處理器之判斷結果。該顯示器同時可使該操作人員在該影像處理器誤未判斷此種破損時,仍能標出破損之晶圓。
圖2A顯示之破損晶圓回收系統具有一X方向龍門起重架250,用以在X方向移動該Y方向龍門起重架252,如圖中標示X之雙箭頭所示。該Y向龍門起重架沿Y方向移動一吸頭254,如圖中標示Y之雙箭頭所示。該吸頭也能作垂直方向移動,如圖中標示Z之雙箭頭所示。如此,該吸頭可移動至該托盤204上之任一座標。當在處理腔200內發現到一破損晶圓時,該托盤204即被移至該裝載腔220。相對地,如是在送入或移出的晶圓托盤上發現破損的晶圓,則將該托盤停留在該裝載腔,以待移除破損晶圓。該控制器205移動該吸頭至該破損晶圓所在之位置,並供電至該抽氣泵365以從該托盤上移除該破損晶圓。感測裝置244接著確認該破損晶圓已成功移除,即使該系統回復至正 常作業。
圖2B為根據本發明實施例之系統結構主要構成元件之概要示意圖,顯示該破損基板回收系統安裝於圖1A及1B顯示之系統之工廠介面上。在圖2B中,與圖1B相似之元件,均標以相似編號,差別在圖2B中的元件編號都是以2開頭。在圖2B中,裝載腔220裝有一第一感測裝置244,一旦該裝載腔已接收一組新的晶圓時,第一感應裝置244就會接收到從控制器205傳來之信號,指示其偵測進入及/或位在該進料腔210內的托盤204上,是否有破損的晶圓。在該實施例中,感測裝置244為一光感測器、對照式感應器(thrubeamsensor)或一相機,裝置在如下文所述的破損晶圓回收系統之上。同時,第二感測裝置246是用以偵測在該處理腔200內之破損晶圓。在該實施例中,感測裝置246為一相機,耦接至該控制器205之影像處理器及其一顯示器。在該實施例中,感測裝置246位於該進料腔210內,且已定方向以在閘閥202開啟時,對該處理腔200之內部取像。可提供一照明光源232,用來對該感測裝置246所取像的區域照明。照明光源232可位於該進料腔210之內,也可位於該處理腔外部,並透過窗戶233等照亮其內部。在控制器205內之該影像處理器利用影像處理程式來判斷在該處理腔200內之一晶圓是否已破損。而該控制器205之顯示器則提供操作人員可以確認或變更該影像處理器之判斷結果。該顯示器同時可使該操作人員在該影像處理器誤未判斷此種破損時,仍能標出破損之晶圓。
圖2B顯示之破損晶圓回收系統具有一X方向龍門起重架250,用以在X方向移動該Y方向龍門起重架252,如圖中標示X之雙箭頭所示。該Y向龍門起重架沿Y方向移動一吸頭254,如圖中標示Y之雙箭頭所示。該吸頭也 能作垂直方向移動,如圖中標示Z之雙箭頭所示。如此,該吸頭可移動至該托盤204上之任一座標。當發現到一破損晶圓時,該吸頭將移動至該破損晶圓所在之位置,並供電至該抽氣泵以從該托盤上移除該破損晶圓。感測裝置244接著確認該破損晶圓已成功移除,即使該系統回復至正常作業。
此外,在圖2B的實施例中,掛架219配備著工具以將該基板座208從該處理腔200內部移出,並移送至該裝載腔220之。當一影像處理器或該處理器判斷出在該處理腔200內有一破損晶圓,掛架219即移動至該處理腔200內,並嚙合該基板座208。接著運送該基板座208至該裝載腔220。在裝載腔220中,吸頭被移至該破損晶圓之位置,且供電至該吸氣產生機,以從該基板座208上移除該破損晶圓。感測裝置244接著確認該破損晶圓已成功移除,該系統即回復至正常作業。
根據本發明之一實施例,當影像處理器根據感測裝置246提供之一影像,顯示在該基板座208上之一晶圓已破損,即使該掛架219嚙合該基板座,待其至感應器244以做二度檢驗。若感應器244也顯示該晶圓確實破損,即使該掛架移動該基板座至裝載腔220,以移除該破損碎片。
根據本發明另一實施例,圖2B之系統有感應器244,但並無感測裝置246。根據該實施例,當一掛架帶有新晶圓並移至該進料腔時,使用感應器244記錄掛架上每一個有晶圓的位置。接著,當該掛架移送回至該腔室以卸除該已處理之晶圓時,再度以該感應器244檢驗掛架,以判斷之前所有裝載晶圓的位置是否還留有晶圓。若否,表示該晶圓已破損,且還留在該處理腔或進料腔內。該掛架接著被送出以收回該基板座,並將其送至該裝載腔220,以利用該吸頭從該基板座上移除該破損晶圓。
圖2C顯示本發明另一實施例,顯示二處理腔200及201。一翻轉基台221位於該第二處理腔之間。這種架構特別適用於以處理腔200處理該晶圓之一表面,而以處理腔201處理該晶圓之另一側之製程。因此,在處理腔200內處理完該晶圓後,該托盤204(或掛架)被移至翻轉基台221,在此將托盤204上之晶圓翻轉。該托盤204接著移至處理腔201以進行處理。當在該處理腔201內之處理已完成時,該托盤被移至卸載腔226。請注意,該翻轉基台221是位在大氣中,因此其兩側提供進料腔216及222,但在圖2C中只顯示其編號。同時,也有進料腔223位於處理腔201及卸載腔226之間。
在該例子中,該破損晶圓回收系統位於該翻轉基台226之上。該破損晶圓回收系統可為圖2A及2B所述之形式。如同上述之例子,各樣感測器,在此為感測裝置244、246及248,都與控制器205連接以判斷破損的晶圓。例如,若任一感測裝置244、246或248偵測到一破損晶圓,該托盤204即被移至該翻轉裝置,並以該吸頭254從該托盤上移除該破損晶圓。在該晶圓被翻轉且該托盤進入處理腔201中進行處理前,可使用感應器248確認該破損晶圓已撤底的從該托盤上移除。
圖3A為根據本發明之一實施例之破損晶圓回收系統主要構成元件之概要示意圖。圖3A顯示之破損晶圓回收系統可應用於上述任一實施例,或在其他中央處理型、直線型或其他系統結構。該回收系統包含一吸頭362及一裝置,用來將該吸頭362移動至一托盤304(或如上述之一掛架,或一基板座)上任一位置。在圖3A之實施例中,該安置裝置包含一第一龍門起重架364,及一第二龍門起重架366,皆安裝至框架360之上。該框架360可能是該裝載腔、該翻轉台等之框架。該第一龍門起重架364以一線性方向,如X方向移動該 吸頭362,且該第二龍門起重架366以垂直於該第一龍門起重架之線性方向,例如Y方向移動該吸頭362。其方向在圖3A中以雙箭頭顯示。圖中另以垂直的雙箭頭顯示該吸頭362可以向垂直方向,亦即Z方向移動,下降到該托盤或從該托盤位置回升。一撓性管368耦接該吸頭362至該抽氣泵365。
圖3A中顯示之另一個特徵為該停放及清理台370。在該實施例中,當該吸頭不使用時,會停放在該清理台370之上。同時,當該吸頭在移除破損晶圓之碎片,如圖中所示之破損晶圓372後,該吸頭即會移動至該清理台370,解除該吸頭內之真空狀態後,所有殘餘的晶圓碎片都會在該清理台370內清理。
圖3A為根據本發明之一實施例之破損晶圓回收系統主要構成元件之概要示意圖。圖3B顯示之實施例與圖3A相似,差別在於在圖3B中,該安置裝置使用一弳向(r,)移動方式,而不是一X-Y方向(x,y)移動方式排列。也就是,在圖3B之實施例中是使吸頭362沿樞軸374作角度方向移動,並沿臂桿376作直線移動,以利用極座標將吸頭362移動到適當位置,而不是以正交直線方向(即笛卡兒直角座標)移動該吸頭362。
圖4為描繪圖3A及3B顯示之破損晶圓回收系統之進一步元件之概要示意圖。具體來說,圖4顯示該吸頭462之些許細節。如圖4所顯示,一防護罩469安裝在該吸頭462之入口處。該防護罩內部有數支可伸縮的銷480。該銷可用來將該晶圓破壞成小碎片,以利藉由該吸頭輕鬆地移除,且不會堵住該吸頭或延伸到該泵的軟管。該銷480穿過該防護罩469上之洞孔484而連接至一共同框架486。藉由該伸縮裝置488使該共同框架486上下移動。
圖4也顯示壓縮延伸481,可確保該防護罩469不會完全地接觸或密接於該托盤、基板座或掛架。以此方式確保會有足夠的空氣流入其入口處,以產生 適當的吸力來移除該破損碎片。這也能減少對該防護罩之熱傳導。特別是如果該托盤或基板座是在加工後移出,其溫度將達到300℃。有了壓縮延伸481後,該防護罩不會因為接觸該托盤或基板座而受熱。
圖5描繪一可用於在如上所示的系統,處理基板之基板座。該基板座508基本上為一平盤之形式,有多個基板座591可放置晶圓。在每個基板座591之中心都設一洞孔593,使一升抬銷能夠升抬基板。在此實施例中,該升抬銷並不直接接觸該晶圓。而是以定位盤597位於該升抬銷洞孔593內部之定位盤座595之內。該升抬銷接觸該定位盤,且在升抬該定位盤後,使定位盤結合並升抬該晶圓。
當該破損晶圓移除系統設置在一系統內,並利用圖5之該基板座時,必須要有裝置來防止在移除破損晶圓時吸離該定位盤。根據本發明一實施例,圖4顯示之該銷480,可用來嚙合該定位盤,且在供電至該抽氣泵將之保持在定位。在如此結構下,該銷有雙重功能:必要時用來破壞該晶圓成為更小碎片,以及在抽吸過程中保持該定位盤。
本發明已經參照其特定實施例而描述如上,但本發明並不限定於所示之實施例。尤其是,習於斯藝之人士可以就本發明完成各式各樣的變化與改變,均屬於本發明申請專利範圍所界定之精神及範疇之內。此外,以上所提及的先前技術,也應成為本案的參考。
100‧‧‧處理腔
102、112‧‧‧真空閥
104、204、304‧‧‧托盤
108、208、508、591‧‧‧基板座
110、210、216、222、223‧‧‧進料腔
118、119‧‧‧晶圓掛架
120、220‧‧‧裝載腔
200‧‧‧處理腔
201‧‧‧處理腔
202‧‧‧閘閥
205‧‧‧控制器
219‧‧‧掛架
221‧‧‧翻轉基台
226‧‧‧卸載腔
232‧‧‧照明光源
233‧‧‧窗戶
244、246、248‧‧‧感測裝置
250、252‧‧‧龍門起重架
254、362、462‧‧‧吸頭
360‧‧‧框架
364‧‧‧第一龍門起重架
365‧‧‧抽氣泵
366‧‧‧第二龍門起重架
368‧‧‧撓性管
370‧‧‧清理台
372‧‧‧破損晶圓
374‧‧‧樞軸
376‧‧‧臂桿
469‧‧‧防護罩
480‧‧‧銷
481‧‧‧壓縮延伸
484、593‧‧‧洞孔
486‧‧‧共同框架
488‧‧‧伸縮裝置
595‧‧‧定位盤座
597‧‧‧定位盤
圖1A及1B為描繪用來實施本發明實施例之系統結構範例之主要構成元件之概要示意圖。
圖2A及2B為根據本發明實施例之系統結構主要構成元件之概要示意圖, 顯示該破損基板回收系統安裝於圖1A及1B顯示之系統之工廠介面上。
圖2C為描繪另一實施例,顯示兩個直線排列之處理腔,且有一破損晶圓回收系統之翻轉基台位於兩處理腔之間。
圖3A為根據本發明之一實施例之破損晶圓回收系統主要構成元件之概要示意圖。
圖3B為根據本發明之另一實施例之破損晶圓回收系統主要構成元件之概要示意圖。
圖4為描繪圖3A及3B顯示之破損晶圓回收系統之進一步元件之概要示意圖。
圖5描繪一可用於在電漿處理腔室內處理基板之基板座。
以下將根據圖式之例示,說明本發明之特定實例。但需了解,圖式所顯示的各個實施例,均只在例示本發明,不得用以限制本發明根據所附申請專利範圍所定之範圍。
200‧‧‧處理腔
202‧‧‧閘閥
204‧‧‧托盤
205‧‧‧控制器
210‧‧‧進料腔
220‧‧‧裝載腔
221‧‧‧翻轉基台
232‧‧‧照明光源
233‧‧‧窗戶
244、246、248‧‧‧感測裝置
250、252‧‧‧龍門起重架
254‧‧‧吸頭

Claims (18)

  1. 一種供基板處理系統使用之破損基板移除系統,包括:一有一入口之吸頭;一安置裝置,用以移動該吸頭至該破損基板之位置;一抽氣泵;一撓性管,耦接該吸頭至該抽氣泵;及一防護罩,位於該吸頭之入口處,並包括壓縮延伸,以讓空氣流入該入口,以產生適當的吸力來移除該破損碎片。
  2. 如申請專利範圍第1項所示的系統,另包括多數可移動之銷,可在該吸頭之入口處伸縮,以進一步破碎該破損基板。
  3. 如申請專利範圍第2項所示的系統,另包括一框架,耦接至一伸縮裝置,且其中該多數可移動之銷共同連接至該框架。
  4. 如申請專利範圍第1項所示的系統,其中該安置裝置包括一第一龍門起重架,可向一方向作直線運動,及一第二龍門起重架,提供對一垂直方向之直線運動。
  5. 如申請專利範圍第1項所示的系統,其中該安置裝置包括一可旋轉之樞軸,以提供旋轉運動,及一臂桿,以提供直線運動。
  6. 如申請專利範圍第1項所示的系統,另包括一停放及清理台,用以供該吸頭在未使用時停放,並用以清理由該吸頭移除之破損碎片之殘留。
  7. 如申請專利範圍第1項所示的系統,另包括一光學感測器,耦接至一控制器,用以偵測破損基板,並用以控制該安置裝置,以移動該吸頭到該破損基板之上方。
  8. 如申請專利範圍第7項所示的系統,其中該光學感測器包括一相機。
  9. 如申請專利範圍第2項所示的系統,另包括一光學感測器,耦接至一控制器,用以偵測破損基板,並用以控制該安置裝置,以移動該吸頭到該破損基板之上方,並用以延伸該可伸縮之銷,以進一步破碎該破損基板。
  10. 一種晶圓製造系統,包括:一處理腔;一進料腔,透過一真空閥門耦接至該處理腔;一晶圓交換台,耦接至該進料台;一控制器;一光學感測器,用以傳送信號至該控制器;一安置裝置,耦接至該晶圓交換台,並可動地支撐一有一入口之吸頭;一防護罩,位於該吸頭之入口處,並包括壓縮延伸,以讓空氣流入該入口,以產生適當的吸力來移除該破損碎片;一抽氣泵,耦接至該吸頭;其中,該控制器操作會根據從該光學感測器接受之信號以啟動該安置裝置及該抽氣泵。
  11. 如申請專利範圍第10項所示的系統,另包括一基板座,位於該處理腔內;且其中該控制器操作以運送該基板座至該晶圓交換台,且根據從該光學感測器接收之信號,啟動該安置裝置以移動該吸頭至該基板座上一特定位置。
  12. 如申請專利範圍第11項所示的系統,另包括可伸縮之銷,設置在該吸頭之入口周圍,且該控制器更可啟動該可伸縮之銷,以破壞位於該基板座上 之一晶圓。
  13. 如申請專利範圍第12項所示的系統,其中該基板座包括多數之定位盤,且其中該可伸縮之銷建置成在該吸頭移除破損晶圓之碎片時,可保持其中一個定位盤。
  14. 如申請專利範圍第13項所示的系統,另包括一第二光學感測器,可傳送信號至該控制器,以執行晶圓破損檢驗或破損晶圓完全移除檢驗,兩者中至少一種操作。
  15. 如申請專利範圍第10項所示的系統,另包括至少一個托盤,建置成同時支撐多數晶圓,且其中該控制器可根據從該光學感測器接收之信號,操作而運送該托盤至該晶圓交換台,且啟動該安置裝置,以將該吸頭移動至該托盤上一特定位置。
  16. 如申請專利範圍第10項所示的系統,其中該晶圓交換台更包括一翻轉裝置,建置以翻轉該晶圓。
  17. 如申請專利範圍第10項所示的系統,另包括一照明光源,用以對該處理腔之內部提供照明。
  18. 一種在一製造系統內,從一支撐多數晶圓之平盤卸除破損晶圓碎片的方法,包括:分析一光學信號以判斷該多數晶圓中之一是否破損;當判斷結果為有一破損晶圓占據該平盤上之位置時,將該平盤移送至一晶圓交換台,移動一吸頭到達該破損晶圓之位置,並啟動一抽氣泵以移除該破損晶圓之碎片;該吸頭之入口處設置防護罩,並包括壓縮延伸,以讓空氣流入該入口,以產生適當的吸力來移除該破損碎片;及 當判斷該平盤上有一破損晶圓占據一位置時,即移動該平盤至一第二光學感測器之位置,以驗證有無破損晶圓位於該平盤上。
TW101116209A 2011-05-24 2012-05-07 破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法 TWI470729B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/115,064 US8459276B2 (en) 2011-05-24 2011-05-24 Broken wafer recovery system

Publications (2)

Publication Number Publication Date
TW201302584A TW201302584A (zh) 2013-01-16
TWI470729B true TWI470729B (zh) 2015-01-21

Family

ID=46085474

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101116209A TWI470729B (zh) 2011-05-24 2012-05-07 破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法

Country Status (5)

Country Link
US (2) US8459276B2 (zh)
EP (1) EP2528088B1 (zh)
JP (1) JP6080118B2 (zh)
CN (1) CN102810497B (zh)
TW (1) TWI470729B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
TWI795105B (zh) * 2021-11-30 2023-03-01 弘塑科技股份有限公司 攪碎機、晶圓震盪裝置和晶圓清洗系統

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8998553B2 (en) * 2011-12-07 2015-04-07 Intevac, Inc. High throughput load lock for solar wafers
US8863349B2 (en) * 2012-04-18 2014-10-21 King Fahd University Of Petroleum And Minerals Steering and cleaning mechanism for reflector arrays
CN104103564B (zh) * 2013-04-15 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种基片传输方法和***
JP6186940B2 (ja) * 2013-06-26 2017-08-30 三星ダイヤモンド工業株式会社 脆性材料基板の搬送方法
US10161033B2 (en) * 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning load port of wafer processing apparatus
CN108111117A (zh) * 2017-12-21 2018-06-01 河南理工大学 太阳能供电设备及***
CN107946220A (zh) * 2017-12-22 2018-04-20 君泰创新(北京)科技有限公司 电池片翻片装置
FR3079761B1 (fr) * 2018-04-10 2022-02-04 Commissariat Energie Atomique Embout de nettoyage et de ramassage de debris de plaquettes de semi-conducteurs et/ou de verre
CN110854051B (zh) * 2019-09-11 2022-03-01 深圳市奥维特机电有限公司 一种芯片拾取装置
CN111710635B (zh) * 2020-08-19 2020-11-20 深圳新益昌科技股份有限公司 自动去晶机及去晶方法
CN114715657B (zh) * 2022-04-07 2023-09-12 九江聚鸿新材料有限公司 一种自动放料出料的开条机

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57211746A (en) * 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US5631573A (en) * 1994-09-20 1997-05-20 Mitsubishi Denki Kabushiki Kaisha Probe-type test handler
US5756155A (en) * 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US6323616B1 (en) * 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
TW200835638A (en) * 2006-12-06 2008-09-01 Axcelis Tech Inc High throughput serial wafer handling end station
TWM366667U (en) * 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
TW201026583A (en) * 2009-01-09 2010-07-16 Chi Mei Optoelectronics Corp Transmission apparatus
US20100301088A1 (en) * 2007-09-08 2010-12-02 Stephen Maher Glass Breaking Device

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
JPS5647287Y2 (zh) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS60178639A (ja) * 1984-02-27 1985-09-12 Toshiba Seiki Kk ペレツト選別装置
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (ja) 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4752180A (en) 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
DE3508516A1 (de) * 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Vorrichtung zum transportieren einer platte im reinraum
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
USH422H (en) 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
JP2564303B2 (ja) 1987-05-08 1996-12-18 株式会社日立製作所 ウエハキャリア治具
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
US5606534A (en) 1989-09-01 1997-02-25 Quantronix, Inc. Laser-based dimensioning system
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JPH05109683A (ja) * 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JPH0569162U (ja) 1992-02-28 1993-09-17 セイコー電子工業株式会社 バッファ付クラスタ形薄膜処理装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH06155197A (ja) 1992-11-16 1994-06-03 Pfu Ltd 混流生産システムにおける部材供給システム
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3666512B2 (ja) * 1994-06-16 2005-06-29 ローム株式会社 薄板基板の移送装置
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5855468A (en) 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
JP3606979B2 (ja) 1995-12-22 2005-01-05 株式会社アルバック 枚葉式真空処理装置
JPH09283983A (ja) * 1996-04-10 1997-10-31 Matsushita Electric Ind Co Ltd 半導体チップのピックアップ方法とピックアップ装置
US5679055A (en) * 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (ja) * 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd ウェーハ回収装置
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP3283459B2 (ja) 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP4346700B2 (ja) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 光電変換装置の作製方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
EP1127369A1 (en) * 1998-10-15 2001-08-29 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
JP2000223546A (ja) 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3398936B2 (ja) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4526151B2 (ja) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP2001284258A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6875640B1 (en) 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP4414072B2 (ja) 2000-07-27 2010-02-10 キヤノンアネルバ株式会社 真空処理装置用トレー及び真空処理装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
JP2002203885A (ja) 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
WO2002058126A1 (fr) 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
JP2002256439A (ja) 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc 基板処理装置
KR100421036B1 (ko) 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002270880A (ja) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd 太陽電池モジュール及びその製造方法
JP4222589B2 (ja) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 基板搬送装置及びそれを用いた基板処理装置
JP2003007682A (ja) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
US20030003767A1 (en) 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (ja) 2001-07-19 2003-01-29 Konica Corp 位置決め機構および画像形成装置
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP4061044B2 (ja) 2001-10-05 2008-03-12 住友重機械工業株式会社 基板移動装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP2003282462A (ja) 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
WO2003100848A1 (fr) 2002-05-23 2003-12-04 Anelva Corporation Dispositif et procede de traitement de substrats
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR101052319B1 (ko) 2002-11-15 2011-07-27 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치 및 기판처리방법
JP3886046B2 (ja) 2002-12-18 2007-02-28 シャープ株式会社 プラズマcvd装置と、それを用いた成膜方法および半導体装置の製造方法
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4197129B2 (ja) 2003-03-19 2008-12-17 シャープ株式会社 ワーク搬送装置
JP2004327761A (ja) 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP4517595B2 (ja) 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (ko) 2003-10-01 2010-12-07 삼성전자주식회사 기판의 반송장치
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
EP1690660A1 (en) 2003-12-04 2006-08-16 Mitsuboshi Diamond Industrial Co., Ltd. Substrate machining method, substrate machining device, substrate carrying method, and substrate carrying mechanism
JP2005183834A (ja) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (ja) 2004-02-02 2005-08-11 Masato Toshima プラズマ処理装置
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US7290978B2 (en) 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (ko) * 2004-06-29 2011-03-25 엘지디스플레이 주식회사 이재 로봇
JP2006049544A (ja) 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP2006054284A (ja) 2004-08-11 2006-02-23 Shimadzu Corp 真空処理装置
JP2006058769A (ja) 2004-08-23 2006-03-02 Sony Corp 沈胴式レンズ鏡筒および撮像装置
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US20060177288A1 (en) 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4596981B2 (ja) 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
JP2006332536A (ja) * 2005-05-30 2006-12-07 Shin Etsu Handotai Co Ltd ウエーハのワレ検査装置およびワレ検査方法ならびにウエーハの製造方法
US20070017445A1 (en) 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (ja) 2005-09-20 2007-05-10 Olympus Corp 基板搬送装置及び基板検査装置並びに基板搬送方法
JP2007123684A (ja) 2005-10-31 2007-05-17 Masato Toshima 基板の処理装置
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
JP4919971B2 (ja) 2005-12-28 2012-04-18 シャープ株式会社 プラズマ処理装置及びプラズマ処理装置を用いて製造された表示パネル用基板
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
WO2007084124A1 (en) * 2006-01-18 2007-07-26 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
JP4915985B2 (ja) 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
EP1855324A1 (de) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substratträger aus glaskeramischen Material
JP4018120B2 (ja) * 2006-05-12 2007-12-05 シャープ株式会社 液滴吐出描画装置
KR101346081B1 (ko) 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
CN101528571A (zh) 2006-12-05 2009-09-09 株式会社岛津制作所 货盘搬运装置及基板检查装置
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
TWI390608B (zh) 2007-01-12 2013-03-21 Veeco Instr Inc 氣體處理系統
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
CN102505115B (zh) 2007-03-02 2014-09-03 欧瑞康太阳能股份公司(特吕巴赫) 真空涂覆装置
KR100927621B1 (ko) 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
US7923660B2 (en) 2007-08-15 2011-04-12 Applied Materials, Inc. Pulsed laser anneal system architecture
US7806641B2 (en) 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5330721B2 (ja) 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
CN101835922B (zh) 2007-10-24 2012-05-02 Oc欧瑞康巴尔斯公司 用于制造工件的方法和装置
CN104008987B (zh) 2007-11-15 2018-01-30 株式会社尼康 掩模盒、搬送装置、曝光装置、掩模搬送方法及器件制造方法
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
DE102008009090B3 (de) 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Beschickungs- und Entnahme-Anlage für Werkzeug-Maschinen
CN102751158B (zh) 2008-03-25 2015-05-20 奥宝科技Lt太阳能有限公司 处理装置
JP5434910B2 (ja) 2008-03-27 2014-03-05 株式会社ニコン 接合装置および接合方法
JP4472005B2 (ja) 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
WO2009130790A1 (ja) 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
WO2010008929A1 (en) 2008-07-15 2010-01-21 Ulvac, Inc. Work-piece transfer systems and methods
TW201027784A (en) 2008-10-07 2010-07-16 Applied Materials Inc Advanced platform for processing crystalline silicon solar cells
JP5683469B2 (ja) 2008-10-09 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大型プラズマ処理チャンバのrf復路
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
JP5883652B2 (ja) 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
US20100203242A1 (en) 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
ITUD20090214A1 (it) * 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
KR101075842B1 (ko) 2009-06-04 2011-10-25 주식회사 에스에프에이 인라인 방식의 태양전지 제조용 플라즈마 처리장치
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
EP2482784B8 (de) 2009-09-28 2013-09-11 Manfred Schwaiger-Shah Vorrichtung zum schlankmachen durch verbesserung der durchblutung im bauchbereich
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
KR101329303B1 (ko) 2010-06-17 2013-11-20 세메스 주식회사 기판들의 로딩 및 언로딩을 위한 기판 처리 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20120131105A (ko) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 손상된 웨이퍼 복구 시스템
US20140064886A1 (en) 2012-08-30 2014-03-06 Orbotech LT Solar, LLC. System, architecture and method for simultaneous transfer and process of substrates

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57211746A (en) * 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US5631573A (en) * 1994-09-20 1997-05-20 Mitsubishi Denki Kabushiki Kaisha Probe-type test handler
US5756155A (en) * 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US6323616B1 (en) * 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
TW200835638A (en) * 2006-12-06 2008-09-01 Axcelis Tech Inc High throughput serial wafer handling end station
US20100301088A1 (en) * 2007-09-08 2010-12-02 Stephen Maher Glass Breaking Device
TW201026583A (en) * 2009-01-09 2010-07-16 Chi Mei Optoelectronics Corp Transmission apparatus
TWM366667U (en) * 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
TWI795105B (zh) * 2021-11-30 2023-03-01 弘塑科技股份有限公司 攪碎機、晶圓震盪裝置和晶圓清洗系統

Also Published As

Publication number Publication date
US9462921B2 (en) 2016-10-11
TW201302584A (zh) 2013-01-16
US20130269149A1 (en) 2013-10-17
JP6080118B2 (ja) 2017-02-15
US20120298141A1 (en) 2012-11-29
EP2528088A3 (en) 2014-06-18
JP2012248837A (ja) 2012-12-13
US8459276B2 (en) 2013-06-11
CN102810497B (zh) 2017-05-31
EP2528088B1 (en) 2018-11-07
CN102810497A (zh) 2012-12-05
EP2528088A2 (en) 2012-11-28

Similar Documents

Publication Publication Date Title
TWI470729B (zh) 破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法
JP5455987B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
JP5323867B2 (ja) 基板反転装置、基板反転方法、剥離システム、プログラム及びコンピュータ記憶媒体
TWI489533B (zh) 基板處理裝置及基板處理方法
CN115985812A (zh) 接合装置、***、方法、程序以及计算机存储介质
JP5829171B2 (ja) 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
WO2013136982A1 (ja) 剥離装置、剥離システム及び剥離方法
JP5913053B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
TW201343517A (zh) 基板處理裝置及基板處理方法
JP5374462B2 (ja) 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
WO2012176629A1 (ja) 剥離システム、剥離方法、及びコンピュータ記憶媒体
JP5580805B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
JP5777549B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
KR20120131105A (ko) 손상된 웨이퍼 복구 시스템
JP6025759B2 (ja) 剥離システム
JP2013120903A (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
KR20090130586A (ko) 웨이퍼 홀딩장치 및 웨이퍼 검사를 위한 구동장치 그리고이의 구동방법
JP4757499B2 (ja) 処理装置および処理方法
KR20200106774A (ko) 반도체 소자 검사 방법 및 장치
TW201729333A (zh) 清潔晶圓固定台表面及/或配置於其上之物件的設備及方法
WO2015040915A1 (ja) 搬入出装置および搬入出方法
JP2008242399A (ja) パネル処理装置