TWI379355B - Methods of etching trenches into silicon of a semiconductor substrate, methods of forming trench isolation in silicon of a semiconductor substrate, and methods of forming a plurality of diodes - Google Patents

Methods of etching trenches into silicon of a semiconductor substrate, methods of forming trench isolation in silicon of a semiconductor substrate, and methods of forming a plurality of diodes Download PDF

Info

Publication number
TWI379355B
TWI379355B TW097145260A TW97145260A TWI379355B TW I379355 B TWI379355 B TW I379355B TW 097145260 A TW097145260 A TW 097145260A TW 97145260 A TW97145260 A TW 97145260A TW I379355 B TWI379355 B TW I379355B
Authority
TW
Taiwan
Prior art keywords
mask
trench
semiconductor substrate
forming
plasma
Prior art date
Application number
TW097145260A
Other languages
English (en)
Other versions
TW200937519A (en
Inventor
Krupakar M Subramanian
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200937519A publication Critical patent/TW200937519A/zh
Application granted granted Critical
Publication of TWI379355B publication Critical patent/TWI379355B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0814Diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66136PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8613Mesa PN junction diodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Description

1379355 f I 九、發明說明: 【發明所屬之技術領域】 本文中所揭示之實施例係關於蝕刻溝渠至半導體基板之 石夕中的方法,關於在半導體基板之矽中形成溝渠隔離 (trench isolation)之方法,且關於形成複數個二極體之方法。 【先前技術】 在積體電路之製造中’將很多器件封裝至半導體基板之 小區域中以產生積體電路。該等個別器件中之許多器件彼 此電隔離。因此,電隔離係半導體器件設計之一整體部分 以防止產生在相鄰組件及器件之間的不必要的電耦合。 隨著積體電路之尺寸減小而將構成電路之器件更緊密地 置放在一起。隔離電路組件之習知方法包括溝渠隔離。此 溝渠隔離係藉由蝕刻溝渠至半導體基板中且用絕緣材料填 充該等溝渠而進行。隨著半導體基板上之組件的密度增 加,溝渠之寬度減小《此外,溝渠之深度趨於增加。其中 形成有隔離溝渠之一種類型之半導體基板材料為結晶矽, 且該半導體基板材料可包括其他材料,諸如鍺及/或傳導性 調節摻雜劑(conductivity modifying dopant)。舉例而言,在 溝渠隔離之製造中,仍需開發出使得能夠蝕刻溝渠至矽中 之改良的触刻化學物質。 【發明内容】 本發明之實施例包括蝕刻溝渠至半導體基板之矽中的方 法,且亦包括在半導體基板之矽中形成溝渠隔離之方法。 此外,本發明之實施例亦包括形成複數個二極體之方法。 136134.doc 1379355 1 mTorr(毫托)至約50 mT〇rr,且基板所在之晶座的實例溫 度範圍為約0°C至約50°C。實例電源功率範圍為約1〇〇 w至 約i,ooo w,且實例偏壓為約-20 v至約_1〇〇〇 Ve舉例而 言,在SF0、Or N2及HBr為前驅氣體之情況下,實例流動 速率包括:對於SF6,約1 sccm(標準立方公分每分鐘)至約 lOOsccm;對於〇2,約 i〇sccm至約 5〇〇sccm;對於咖,〇sccm 至約50〇sccm ;及對於n2,約丨sccm至約5〇〇sccm。在lam Kiyo電漿蝕刻腔室中的一特定付諸實踐之實例包括:5mT〇rr、 7°C、-500 V偏壓、400 W電源功率、35〇 3_之 ^ ; 45 之〇2,60 sccm之N2 ;及20 sccm之HBr。所使用之特定電漿 蝕刻器可能夠操作以使得偏壓或偏功率可為設定點,而允 許另一者浮動。在一實施例中,設定偏壓,且允許偏功率 浮動。在另一實施例中,設定偏功率,且允許偏壓浮動。 已確定,使用SF0及〇2而不使用任何含氮化合物之蝕刻可 導致顯著之溝渠側壁侵蝕、不規則溝渠側壁及/或v形溝渠 底邛。然而,已發現,藉由將含氮化合物添加至電漿餘刻 化學物質,較平滑且/或較平直之溝渠側壁產生且具有較平 坦之溝渠底部,但除非字面上如此主張,否則本發明不必 要求達成該等結果中之任一者。此外,不必受本發明之任 何理論的限制,氮在電漿中之存在可產生NFx物質,此物質 可有助於或可並不有助於形成較平直之側壁及較平坦之底 部。 無論如何,本發明之一實施例包括使用一遮罩來電漿蝕 刻溝渠至半導體基板之矽中,該遮罩具有經形成為穿過該 136I34.doc 1379355 圖8為圖5之基板之處於圖5所示之處理後之處理下的視 圖。 圖9為圖8之基板之穿過圖8中之線9_9獲取的剖視圖。 圖1〇為圖5之基板之穿過圖8中之線1〇_1〇獲取的剖視圖。 圖11為圖8之基板之處於圖8所示之處理後之處理下的視 圖。 圖12為圖U之基板之穿過圖u中之線12_12獲取的剖視 圖。 圖13為圖U之基板之穿過圖n中之線1313獲取的剖視 圖。 圖14為圖11之基板之處於圖u所示之處理後之處理下的 視圖。 圖15為圖14之基板之穿過圖14中之線15-15獲取的剖視 圖。 圖16為圖14之基板之穿過圖14中之線ι6_16獲取的剖視 圖。 圖17為圖14之基板之處於圖14所示之處理後之處理下的 視圖。 圖18為圖17之基板之穿過圖π中之線ι8_18獲取的剖視 圖。 圖19為圖17之基板之穿過圖17中之線19-19獲取的剖視 圖。 圖20為圖19之基板之處於圖19所示之處理後之處理下的 視圖。 136134.doc 14

Claims (1)

1379355 • · 日修(更)王本、申請專利範"Ϊ'Τ 第097145260號專利申請案 中文申請專利範圍替換本(101年9月) 1. 一種蝕刻溝渠至一半導體基板之矽中之方法,其包含: 在一半導體基板之矽上形成一第一遮罩,該第一遮罩 包含經形成為穿過該遮罩之溝渠; 使用該第HI電漿敍㈣渠至該半導體基板之該 二,該電浆姓刻包含使用包含SF6、—含氧化合物及一 含氮化合物之前驅氣體來形成一蝕刻電漿;及 在該等第一溝渠上形成一第二遮罩,該第二遮罩具有 多個不通過該等第一溝渠之第二溝渠。 2. 如請求们之方法,其中該含氧化合物及該含氮化合物包 含不同化合物。 月東項1之方法,其中該含氧化合物及該含氮化合物包 含相同化合物。 4·如請求項3之方法’其中該相同化合物包含ΝΟχ。 5·如:求項1之方法,其中該等前驅氣體包含HBr。 6·如=求項1之方法,其中該遮罩在該電歌餘刻至該石夕中之 =較後部分期間包含—最外硬式遮罩層 遮罩層不含碳。 巧 7.如請求項〗 之方法,其中該含氮化合物包含 8 . 如請求項7夕士 方法’其中該等前驅氣體包含HBr。 9. 如請求項1 > +、 方法,其中該等前驅氣體包含兩種含氧化合 中之Γί含氧化合物中之—者不含氣,該等含氧化合物 —者包含該含氮化合物。 10. 如請求項9之 万法,其中該等前驅氣體之該一者包含〇2且 I36134-101092E.doc 1379355 該另一者包含N0X。 11. 一種钱刻溝渠至一半導體基板之矽中之方法,其包含: 在半導體基板之衫上形成一第一遮罩,該第一遮罩 包含經形成為穿過該遮罩之溝渠;及 使用該第一遮罩來電漿蝕刻第一溝渠至該半導體基板 之該石夕中,該電漿蝕刻包含一包含一含硫組分其包含 SF6、一含氧組分及一包含NFx之氮絚分之钱刻電漿; 在該等第一溝渠上形成一第二遮罩,該第二遮罩包含 多個不通過該等第一溝渠之第二溝渠。 12. 如請求項11之方法,其中該含硫組分之硫由一包含5匕之 前驅氣體形成。 13. 如請求項Η之方法,其中該含氧組分之氧由一包含〇2之 前驅氣體形成’且該NFx之氮由一不含氧之前驅氣體化合 物形成。 14. 如請求項11之方法,豆中該合氫 /、T °豕3軋組分之氧及該NFX之氮由 一包含N0X之前驅氣體形成。 15· -種在-半導體基板之矽中形成溝渠隔離之方法,其包 含: 遮罩,該第一遮罩 » 半導體基板之該石夕 2及N2之前驅氣體來 在一半導體基板之矽上形成一第— 包含經形成為穿過該遮罩之第—溝渠 使用該遮罩來電漿蝕刻溝渠至該 中,該電装钮刻包含使用包含SF6、〇 形成一钱刻電聚; ;及 將絕緣的溝渠隔離材料沈積至該等溝渠内 136134-1010928.doc • 2 - 1379355 在該等第-溝渠上形成一第二 多個不通過該等第—溝渠之第二耸;早,邊第·二遮罩具有 16.如請求項15之方法,直 渠0 17·如請求項15之方法 孔體包含HBr。 之每一者多之N2。 、 〇 引**氣體包含比sf6及02中 18. 如請求項15之方法,其中該飯刻 基本上由SF6、〇2及N 之6亥形成係由使用 19. -種在一丰則驅氣體而進行。 半導體基板之矽中形成 含: 心戍4渠隔離之方法,其包 在一半導體基板之石夕上形成 句冬铋拟士、达办 遮罩’該第一遮罩 δ、,、Φ成為穿過該第一遮 -主要方向上延伸之第一溝準广體基板上在-第 遮罩層;_之第该第-遮罩包含-硬式 使用該第一遮罩來電㈣刻第-溝渠至該半導體基板 之該石夕中,該電漿蝕刻該等第一溝土板 /再杀至该矽中包含使用 、6 3氧化口物及-含氮化合物之前驅氣體來形 成一餘刻電漿; 在該等第-溝渠上形成-第二遮罩,該第二遮罩包含 經形成為穿過該第二遮罩在該半導體基板上在一與該第 一主要方向正交之第二主要方向上延伸之第二溝渠,該 第二遮罩包含該第—遮罩之該硬式遮罩層且不通過該等 第一溝渠; 使用該第二遮罩來電漿餘溝渠至料導體基板 之該矽中,該電毁姓刻該等第二溝渠至該矽中包含使用 136134-I010928.doc iyjJD B 6 s氧化合物及一含氮化合物之前驅氣體來形 成一蝕刻電漿;及 在電聚ϋ刻該等第二溝渠之後,將絕緣的溝渠隔離材 料沈積至該矽中之該等第一及第二溝渠内。 "月求項19之方法,其中該第二遮罩由收容於該第一遮 罩之該硬式遮罩層上之多層抗蝕劑形成。 如。月求項19之方法’其中該第_遮罩之該硬式遮罩層為 絕緣性。 «•月求項19之方法,其中該第一遮罩之該硬式遮罩層為 導電性。 23.如清求項22之方法,其中該第一遮革之該導電性硬式遮 罩層包含一耐火金屬氮化物、一耐火金屬矽化物或一基 本形式之金屬中之至少一者。 24· —種形成複數個二極體之方法,其包含: 在一半導體基板之石夕上形成一第一遮罩,該第一遮罩 包含經形成為穿過該第一遮罩在該半導體基板上在一第 一主要方向上延伸之第一溝渠,該第一遮罩包含一硬式 遮罩層; 使用該第一遮罩來電漿蝕刻第一溝渠至該半導體基板 之該矽令,該電襞蝕刻該等第一溝渠至該矽中包含=用 包含SF6、-含氧化合物及-含氫化合物之前驅氣體來形 成一蝕刻電漿; 在該等第-溝渠上形成-第二遞罩,該第二遮罩包含 經形成為穿過該第二遮罩在該半導體基板上在一與該第 136134-1010928.doc -4- -主要方向正交之第二主要方向 第二遮罩包含竽篦.g 之第一溝¥,該 第一溝渠,=1罩之該硬式遮罩層且不通過該等 遮罩層;、 溝渠延伸穿過該第一遮罩之該硬式 使用。亥第一遮罩來電漿钱刻第二溝渠至該半導體基板 之:石夕中,該電漿钱刻該等第二溝渠至該石夕中包含使用 ^ s SFe含氧化合物及一含氮化合物之前驅氣體來形 成-#刻電漿’該電㈣刻該等第二溝渠至該碎中形成-間隔之含石夕凸台;及 在該4凸台中之個別凸台上提供個別二極體,且沈積 絕緣材料以使其收容於該等間隔之含矽凸台周圍。 25.如請求項24之方法,其中該等個別二極體包含_ p型含矽 區域及一 η型含石夕區域。 I36l34-I010928.doc
TW097145260A 2007-12-03 2008-11-21 Methods of etching trenches into silicon of a semiconductor substrate, methods of forming trench isolation in silicon of a semiconductor substrate, and methods of forming a plurality of diodes TWI379355B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/949,643 US7704849B2 (en) 2007-12-03 2007-12-03 Methods of forming trench isolation in silicon of a semiconductor substrate by plasma

Publications (2)

Publication Number Publication Date
TW200937519A TW200937519A (en) 2009-09-01
TWI379355B true TWI379355B (en) 2012-12-11

Family

ID=40676160

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097145260A TWI379355B (en) 2007-12-03 2008-11-21 Methods of etching trenches into silicon of a semiconductor substrate, methods of forming trench isolation in silicon of a semiconductor substrate, and methods of forming a plurality of diodes

Country Status (7)

Country Link
US (4) US7704849B2 (zh)
EP (1) EP2218095A4 (zh)
KR (1) KR101148641B1 (zh)
CN (1) CN101878521B (zh)
SG (2) SG186613A1 (zh)
TW (1) TWI379355B (zh)
WO (1) WO2009073308A1 (zh)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
US8030215B1 (en) 2008-02-19 2011-10-04 Marvell International Ltd. Method for creating ultra-high-density holes and metallization
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9678329B2 (en) * 2011-12-22 2017-06-13 Qualcomm Inc. Angled facets for display devices
KR20130102399A (ko) 2012-03-07 2013-09-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012220909A1 (de) * 2012-09-27 2014-05-15 Osram Opto Semiconductors Gmbh Verfahren zum Vereinzeln von Bereichen einer Halbleiterschicht
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104425222B (zh) * 2013-08-28 2018-09-07 中芯国际集成电路制造(上海)有限公司 图形化方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9627216B2 (en) * 2013-10-04 2017-04-18 Applied Materials, Inc. Method for forming features in a silicon containing layer
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9484376B2 (en) * 2014-05-30 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor isolation structure and manufacturing method thereof
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) * 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN109326596B (zh) * 2017-08-01 2022-05-03 联华电子股份有限公司 具有电容连接垫的半导体结构与电容连接垫的制作方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11257683B2 (en) * 2019-06-21 2022-02-22 Fei Company Perimeter trench formation and delineation etch delayering

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188869A (ja) * 1990-11-22 1992-07-07 Mitsubishi Electric Corp 接合型電界効果トランジスタとキャパシタとを含む半導体記憶装置およびその製造方法
JPH0555178A (ja) 1991-08-27 1993-03-05 Fujitsu Ltd 多層レジストを用いるパターニング方法
JP3322936B2 (ja) * 1992-03-19 2002-09-09 株式会社東芝 半導体記憶装置
US5314576A (en) * 1992-06-09 1994-05-24 Sony Corporation Dry etching method using (SN)x protective layer
US6199874B1 (en) * 1993-05-26 2001-03-13 Cornell Research Foundation Inc. Microelectromechanical accelerometer for automotive applications
JPH09186139A (ja) 1996-01-08 1997-07-15 Canon Inc ドライエッチング方法
JPH09186138A (ja) 1996-01-08 1997-07-15 Hitachi Ltd イオンビーム加工装置
US5750441A (en) * 1996-05-20 1998-05-12 Micron Technology, Inc. Mask having a tapered profile used during the formation of a semiconductor device
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6020091A (en) 1997-09-30 2000-02-01 Siemens Aktiengesellschaft Hard etch mask
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US5958793A (en) * 1997-12-24 1999-09-28 Sarnoff Corporation Patterning silicon carbide films
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6303513B1 (en) * 1999-06-07 2001-10-16 Applied Materials, Inc. Method for controlling a profile of a structure formed on a substrate
US6372151B1 (en) * 1999-07-27 2002-04-16 Applied Materials, Inc. Storage poly process without carbon contamination
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
JP3485504B2 (ja) 1999-09-09 2004-01-13 沖電気工業株式会社 半導体装置のドライエッチング方法
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
US6437383B1 (en) * 2000-12-21 2002-08-20 Intel Corporation Dual trench isolation for a phase-change memory cell and method of making same
US7345342B2 (en) * 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6500725B1 (en) * 2001-09-06 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Microelectronic fabrication method providing alignment mark and isolation trench of identical depth
US6518670B1 (en) 2002-03-06 2003-02-11 International Business Machines Corporation Electrically porous on-chip decoupling/shielding layer
US6579760B1 (en) * 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US7078334B1 (en) 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6576558B1 (en) * 2002-10-02 2003-06-10 Taiwan Semiconductor Manufacturing Company High aspect ratio shallow trench using silicon implanted oxide
TW583764B (en) * 2002-11-11 2004-04-11 Macronix Int Co Ltd Mask ROM having diodes and manufacturing method thereof
US6875651B2 (en) * 2003-01-23 2005-04-05 Sharp Laboratories Of America, Inc. Dual-trench isolated crosspoint memory array and method for fabricating same
US7078351B2 (en) * 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US6942816B2 (en) 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
EP1599894A4 (en) * 2003-03-03 2010-04-28 Lam Res Corp PROCESS FOR IMPROVING PROFILE CONTROL AND N / P LOADING IN DOUBLE-DOTED GATE APPLICATIONS
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US7285433B2 (en) * 2003-11-06 2007-10-23 General Electric Company Integrated devices with optical and electrical isolation and method for making
US7407837B2 (en) * 2004-01-27 2008-08-05 Fuji Electric Holdings Co., Ltd. Method of manufacturing silicon carbide semiconductor device
US7326950B2 (en) 2004-07-19 2008-02-05 Micron Technology, Inc. Memory device with switching glass layer
US7180160B2 (en) * 2004-07-30 2007-02-20 Infineon Technologies Ag MRAM storage device
US7084024B2 (en) * 2004-09-29 2006-08-01 International Business Machines Corporation Gate electrode forming methods using conductive hard mask
DE102004054558A1 (de) 2004-11-11 2006-05-24 Infineon Technologies Ag Verfahren zur Herstellung einer resistiv schaltenden Speicherzelle, hergestellte Speicherzelle sowie daraus aufgebautes Speicherbauelement
KR100639467B1 (ko) * 2004-12-31 2006-10-26 동부일렉트로닉스 주식회사 플래시 메모리 소자의 소자 분리 영역 형성 방법
US7468307B2 (en) * 2005-06-29 2008-12-23 Infineon Technologies Ag Semiconductor structure and method
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US20080050871A1 (en) * 2006-08-25 2008-02-28 Stocks Richard L Methods for removing material from one layer of a semiconductor device structure while protecting another material layer and corresponding semiconductor device structures
KR100818654B1 (ko) * 2006-12-01 2008-04-01 주식회사 하이닉스반도체 벌브형 리세스 게이트를 갖는 반도체 소자 및 그 제조 방법
US20090050867A1 (en) * 2007-08-20 2009-02-26 David Wells Feature formed beneath an existing material during fabrication of a semiconductor device and electronic systems comprising the semiconductor device
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
EP2240178A4 (en) 2007-12-19 2011-10-26 Glaxosmithkline Llc PROLYLHYDROXYLASEHEMMER

Also Published As

Publication number Publication date
US8252658B2 (en) 2012-08-28
US20120302067A1 (en) 2012-11-29
US20140349487A1 (en) 2014-11-27
US7704849B2 (en) 2010-04-27
US20100178748A1 (en) 2010-07-15
EP2218095A1 (en) 2010-08-18
KR20100077046A (ko) 2010-07-06
SG186612A1 (en) 2013-01-30
US20090142902A1 (en) 2009-06-04
US8802573B2 (en) 2014-08-12
US9524875B2 (en) 2016-12-20
CN101878521A (zh) 2010-11-03
KR101148641B1 (ko) 2012-05-21
CN101878521B (zh) 2012-05-23
SG186613A1 (en) 2013-01-30
TW200937519A (en) 2009-09-01
WO2009073308A1 (en) 2009-06-11
EP2218095A4 (en) 2012-02-22

Similar Documents

Publication Publication Date Title
TWI379355B (en) Methods of etching trenches into silicon of a semiconductor substrate, methods of forming trench isolation in silicon of a semiconductor substrate, and methods of forming a plurality of diodes
KR101173799B1 (ko) 복수의 트랜지스터 게이트들을 형성하는 방법들 및 적어도 두 개의 서로 다른 일함수들을 갖는 복수의 트랜지스터 게이트들을 형성하는 방법들
TWI719015B (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
TWI287827B (en) Masking methods
US9343272B1 (en) Self-aligned process
TWI323491B (en) Method for fabricating fin transistor
TW200823998A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
KR20030066673A (ko) 반도체 구조에서 텅스텐 또는 질화 텅스텐 전극 게이트식각 방법
KR20090058005A (ko) 고 종횡비 피처들 및 연관된 구조체들을 형성하기 위한 선택적 식각 화학물들
TW200843107A (en) Semiconductor device
JPS6365625A (ja) エッチング方法
KR20010014954A (ko) 반도체 장치 및 그 제조 방법
CN107851577B (zh) 衬底接触蚀刻工艺
TW201118985A (en) Method for fabricating side contact in semiconductor device using double trench process
TW200818310A (en) Method for fabricating semiconductor device including recess gate
JP2008021975A (ja) 特にフラッシュメモリにおいてポリシリコンの上にある珪化タングステンをエッチングするプロセス
TWI258167B (en) Formation of a double gate structure
CN108364861A (zh) 制造半导体装置的方法
TW200414513A (en) Semiconductor device including trench capacitor and manufacturing method of the same
KR100704475B1 (ko) 듀얼 폴리 리세스 게이트를 갖는 반도체 소자의 제조방법
TW201248675A (en) Plasma etching method and plasma etching apparatus for preparing high-aspect-ratio structures
TW200820349A (en) Method of fabricating semiconductor device with recess gate
TW201916121A (zh) 半導體裝置的形成方法
US20070134869A1 (en) Method for fabricating semiconductor device
JP2006080355A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees