TWI333234B - Integration of ald/cvd barriers with porous low k materials - Google Patents

Integration of ald/cvd barriers with porous low k materials Download PDF

Info

Publication number
TWI333234B
TWI333234B TW092136608A TW92136608A TWI333234B TW I333234 B TWI333234 B TW I333234B TW 092136608 A TW092136608 A TW 092136608A TW 92136608 A TW92136608 A TW 92136608A TW I333234 B TWI333234 B TW I333234B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
dielectric
dielectric layer
chamber
Prior art date
Application number
TW092136608A
Other languages
English (en)
Other versions
TW200419642A (en
Inventor
Hua Chung
Nikolaos Bekiaris
Christophe Marcadal
Ling Chen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200419642A publication Critical patent/TW200419642A/zh
Application granted granted Critical
Publication of TWI333234B publication Critical patent/TWI333234B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Description

1333234 九、發明說明: 【發明所屬之技術領域】 本發明係有關於製造積體電路裝置的方 法。更明確地說,本發明之實施例關係於形 成具有阻障層接觸至少一部份之介電層的金 屬内連線結構。 【先前技術】 當積體電路(ic)之結構大小被縮小至次四 分之一微米尺寸時,電阻及電流密度已經變 成主要之考量及改良處。多層内連線技術被 用以形成高深寬比特徵結構,包含接觸、插 塞、導孔、線及其他特徵結構。用以在一基 材上形成内連線之典型製程包含沉積一或多 個層、银刻至少一層,以形成一或多個特徵 結構、沉積一阻障層於特徵結構中並沉積一 或多個層以填充該特徵結構。典型地,一特 徵結構被形成於一沉積於下導體層及上導體 層間之介電材料内。内連線被形成於特徵結 構内,以連結上及下導體層。這些内連線特 徵結構之可靠形成對於電路的生產係重要的 並且有助於對增加電路密度及品質的持續努 力〇 因為銅及其合金具有較鋁為低之電阻值, 所以,銅近來已經變成填充次微米高深寬比 内連線特徵結構之選擇金屬。然而,較快擴 散入周圍材料並改變鄰近層之電子裝置特徵 5 1333234 結構。例如,此擴散可以在諸層間形成一導 電路徑,因而,降低整個電路之可靠性及可 能造成裝置故障。 在銅金屬化以前,先沉積有阻障層,以防 止或阻止銅原子之擴散。阻障層典型包含一 例如鶴、鈦、组、及其氮化物之对火金屬, 這將具有較銅為大之電阻值。為在一特徵結 構内沉積一阻障層,阻障層必須沉積在特徵 結構及低部及側壁上。阻障層之加在特徵結 構之底部不但增加了特徵結構之整體電阻, 同時,也在一多層内連線結構之上及下金屬 内連線間形成了一阻礙。 阻障層經常被沉積在介電層上,該等介電 層已經先被蝕刻以包含一或多個特徵結構 者。介電層典型由低k(介電常數k$4.0)材料 所作成。該等低 k值層可以為多孔層。於多 孔低 k層上,沉積一阻障層時,用以形成阻 障層之前驅物可以擴散入多孔低 k值層之孔 中。有關於先前所討論之銅的擴散,阻障層 材料的擴散係有問題的。阻障層前驅物的擴 散入多孔低k值層中,可能提高低k值層之 介電常數,例如,造成裝置的洩漏電流。 阻障層之沉積也可能為一費時步驟,因 為,阻障層之起始沉積或成核可能很慢。 因此,有需要一處理順序,其中,阻障層 被沉積在介電層上,使得阻障層前驅物之擴 散入介電層中為最小化。同時,也需要一處 理順序,其中阻障層被以較快速率沉積在一 介電層上。 6 1333234 【發明内容】 本案提供處理基材的一方法。於一態樣 中,一種處理一基材的方法包含沉積低 k介 電層在一基材上。該低 k 介電層然後被蝕 刻,使得一孔被形成在該介電層中。一旦钱 刻,基材被去氣,然後,進行預清洗。該預 清洗步驟將該基材曝露至一或多個電漿,該 等電漿係由氬、氦、氫、氮、含氟化合物、 氧化氣體及其組合之群組中所選出的一或多 個氣體所作成。在預清洗後,一阻障層被沉 積在該基材上,然後,一種層被沉積在基材 上。最後,一金屬層被沉積在種層上,以填 充在該介電層上之孔。 於另一態樣中,用以處理一基材的方法包 含在一基材上沉積一餘刻阻擋。一低 k介電 層然後沉積在該蝕刻阻擋上。該低 k介電層 然後被蝕刻,使得一孔被形成在該介電層 内。一旦蝕刻,基材被去氣,然後,進行預 清洗。該預清洗步驟包含將該基材曝露至一 或多個氣體的一或多個電漿,該等氣體係由 氬、氦、氫、氮、含氟化合物、氧化氣體及 其組合之群組中所選出。在預清洗後,蝕刻 阻擋被蝕刻,然後,一阻障層被沉積在該基 材上。一種層被沉積在該基材上。最後,一 金屬層被電鍍在該種層上,以填充在介電層 中之孔。 【實施方式】 本案提供用以形成一或多個内連線結構之 7 1333234 處理順序。依據於此所述之實施例所形成之 内連線結構具有整體較先前技藝之内連線為 低之電阻及較佳之電氣特徵結構,並且,特 別有用於在積體電路製造記憶體及邏輯結 構。内連線結構之形成包含形成一薄阻障 層,其至少部份被沉積在下層金屬插塞上、 一種層,至少部份沉積在阻障層上、及一主 體金屬層,至少部份沉積在種層上。於此所 用之「内連線」表示形成在積體電路之導電 路徑。於此所用之 「主體」表示相對於沉積 以形成内連線結構之其他材料的厚度具有較 大厚度之材料。 本發明之實施例提供一製程整合方法或沉 積一阻障層之順序。相信於此所述之預清洗 製程及阻障層沉積之組合造就了阻障層之形 成,而不會大大地滲入介電層。本發明之實 施例同時也加強阻障層之成核及沉積。 第 1 圖例示依據本發明實施例之處理順 序。如步驟 480所示,一薄阻障層首先被至 少部份沉積在下層基材表面上,例如一下層 金屬内連線或一金屬閘極。阻障層依據一循 環層沉積技術被沉積,以提供優良之阻障特 徵結構,同時,允許後續沉積金屬層與一金 屬插塞相通,而不必蝕刻阻障層。於一態樣 中,阻障層為例如组、鈦、及鎢之含耐火金 屬層,其可以包含耐火金屬氮化物材料,例 如氮化钽(TaN)。於另一態樣中,阻障層為一 TaN 及α相钽之薄雙層。於另一態樣中,阻 障層可以為由含埘火金屬化合物、含矽化合 物及含氮化合物所形成之三元材料。該阻障 8 1333234 層也可以作為後續金屬化之濕潤層、黏合層 或黏著層。 於此所用之”薄層”表示沉積於基材表面上 之材料層,其有約 20埃(A)之厚度或更少, 例如約 1 〇埃。阻障層之厚度係很小/薄,使 得鄰近金屬内連線之電子可以穿透阻障層。 因此,藉由降低整體電阻並提供良好裝置可 靠性,而不必執行阻障層之蝕刻或其他類型 之去除,阻障層大大地加強金屬内連線電氣 效能。 依據循環沉積法所沉積之薄阻障層顯示了 磊晶成長現象。換句話說,阻障層採相同或 實質相同結晶特徵作為下層,而不管其非結 晶特徵結構。因此,成長出一實質單晶,以 使其在阻障層與下層間之界面處,沒有孔隙 之形成。同樣地,沉積在阻障層上之後續金 屬層展現相同或實質相同磊晶成長特徵,其 持續單晶之形成。因此,在此界面,並未產 生孔隙。類似一單晶之所得結構免除了孔隙 之形成,因而造成實質地增加裝置可靠度。 單晶結構同時也降低内連線特徵結構之整體 電阻,同時,提供優良之阻障特徵結構。再 者,吾人相信在内連線材料界面間之保角及 均勻結晶定向降低了電子遷移及應力遷移之 傾向 。 於此所述之「循環沉積」表示在基材表面 上,沉積一薄膜之兩或多個化合物的順序引 入。該兩或多個化合物被依序引入處理室的 反應區中。每一化合物引入係為一時間延遲/ 暫停所分隔,以允許每一化合物被黏著及/或 9 1333234 反應於基材表面上。於一態樣中,一第一化 合物 A係在一第一時間延遲/暫停後,摻雜/ 脈衝加入反應區。再者,一第二化合物 B藉 由一第二時間延遲後,摻雜/脈衝加入至反應 區中。當想要形成一三元材料時,例如鈦矽 氮化物時,一第三化合物 C在一第三時間延 遲後,摻雜/脈衝加入反應區中。可以重覆這 些包含有一時間延遲之反應化合物脈衝的依 序連串步驟,直到在基材表面上形成想要膜 或膜厚度為止。 於此所用之「脈衝加入/摻雜」係想要表示 一特定化合物,其間歇或非連續引入一處理 室之反應區中。在每一脈衝内之特定化合物 量可能取決於化合物之流率及/或脈衝持續 時間加以變化。 「化合物」係想要包含一或多個前驅物、 還原劑、反應劑及/或觸媒。每一化合物可以 為單一化合物或兩或多個化合物之混合/組 合。 仍參考第 1圖,一種層至少部份地沉積在 阻障層上,如步驟 485所示。種層可以使用 任意傳統沉積技術,例如化學氣相沉積 (CVD)、物理氣相沉積(PVD)、電鍍、或無電 電鍍加以沉積。較佳地,種層係保角地沉積 在下層之阻障層上,以具有於約 100埃至約 5 0 0 埃之厚度。於一態樣中,種層係為傳統 銅種層。於另一態樣中,種層為一雙合金種 層。例示雙合金種層包含:1)利用含未掺雜 銅之靶材沉積之未摻雜銅,2) —銅合金,其 包含約 2.0原子百分比的鋁,其係利用含濃 10 1333234 度約 2.0 原子百分比之鋁的銅鋁靶材所沉 積,3)—包含有約 2.0 原子百分比濃度錫的 銅合金,其係利用含濃度約 2.0原子百分比 之錫的銅錫靶材加以沉積,及 4) 一包含有約 2 . 0原子百分比濃度錯的銅合金,其係利用含 濃度約 2.0原子百分比濃度之锆的銅锆靶材 加以沉積。 一主體金屬層至少部份沉積在該種層上, 如步驟 487所示。金屬層同時也使用任意之 傳統沉積技術,例如化學氣相沉積(C V D )、物 理氣相沉積(P V D )、電鍍、或無電電鍍加以沉 積。金屬層較佳包含例如鋁、銅、鎢或其組 合之任一導電材料。 第 2A至2D圖為在製造時不同階段之例示 内連線結構代表圖。第2A圖顯示一基材100 具有一下層金屬層 110,該金屬層具有一介 電層 112形成於其上。下層金屬層 110可以 包含任意導電材料,例如鋁、銅、鎢或其組 合,例如可以形成例如插塞、導孔、接觸、 線、導線之内連線特徵結構之一部份,或者 金屬閘極電極的一部份。介電層 1 1 2可以為 任意介電材料,包含低k介電材料(k$4.0), 可以為已知或未發現者。例如,介電層 112 可以為二氧化矽或摻碳之二氧化矽。第 2圖 顯示介電層 112 被蝕刻,以形成特徵結構 114。此一姓刻可以使用傳統技術加以執行。 特徵結構 1 1 4可以為插塞、導孔、接觸、線、 導線或任意其他内連線元件。典型地,特徵 結構114具有垂直側壁116及一地板 118,具 有約 4 : 1 或更大之深寬比,例如約 6:1。 11 1333234 層 1 1 2可以為二氧化矽或摻碳之二氧化矽。 第 2圖顯示介電層 112被蝕刻,以形成特徵 結構 1 1 4。此一蝕刻可以使用傳統技術加以 執行。特徵結構 1 1 4可以為插塞、導孔、接 觸、線、導線或任意其他内連線元件。典型 地,特徵結構 1 1 4具有垂直側壁 1 1 6及一地 板 1 1 8,具有約 4 : 1或更大之深寬比,例如 約 6: 1。地板 118曝露下層金屬内連線 110 之至少一部份。 參考第2B圖,阻障層130係保角地沉積在 特徵結構 1 1 4之地板 1 1 8及側壁 1 1 6。較佳 地,阻障層包含沉積至約厚 2 0埃或更少,較 佳約1 0埃之氮化钽,其係藉由提供一或多個 含组化合物以約 1 0 0 s c c m至約 1 0 0 0 s c c m之流 率脈衝,持續約 1 · 0秒或更低之時間,以及, 藉由提供一或多個含氮化合物以約 lOOsccm 至約 1 0 0 0 s c c m之流率脈衝,持續約 1 . 0秒或 更低之時間,至具有一基材的反應區上。含 鈕化合物例如包含第三丁基醯亞胺基三(二 乙胺基)钽(TBTDET)、五(乙基曱基胺基)钽 (PEMAT)、五(二曱基胺基)钽(PDMAT)、五(二 乙基胺基)钽(PDEAT)、第三丁基醯亞胺基三 (二乙基甲基胺基)鈕(TBTMET)、第三丁基醯 亞胺基三(二曱基胺基)钽(TBTDMT)、三氫化 二(環戊二烯基)钽((Cp)2TaH3)、三氫化二(曱 基環戊二烯基)钽((CpMe)2TaH3)、及其衍生 物及其組合物。含氮化合物包含氨、肼、甲 基肼、二甲基肼、第三丁基肼、苯基肼、偶 氮異丁烷、乙基疊氮、其衍生物、及其組合 物。 12 1333234 可以了解,這些化合或他未列出之化合物 在室溫時,可以為固體、液體或氣體。例如, PDMAT在室溫為固體及TBTDET在室溫為液 體。因此,在引入處理室之前,此等非氣相 前驅物受到已知之昇華或蒸氣步驟。如同本 技藝所知,例如氬、氦、氮、氫或其混合之 載氣可以用以協助將該化合物帶入處理室 中 〇 反應化合物之脈衝係依序進行,伴隨著非 反應氣體的分離流,以約 2 0 0 s c c m 至約 1 0 0 0 s c c m間之流率進行。非反應氣體流可以 加反應化合物之每一脈衝間,或者,非反應 氣體流可以持續於整個沉積製程中。不管是 脈衝或連續之非反應氣體流均作用以將過量 之反應物由反應區移除。這被作成以防止反 應化合物之不想要氣相反應,並以類似沖洗 氣體的功能,自處理室移除反應之副產物。 另外,類似於載氣功能,非反應氣體流協助 輸送反應化合物至基材表面。於此所用之「非 反應氣體」表示單一氣體或氣體混合,其在 内連線結構之形成中,並不是一反應物。非 反應氣體包含氬、氦、氮、氫及其組合。 「反應區」想要包含與被處理之基材表面 流體相通之區域。反應區可以為處理室内之 面積或體積,其係在氣體源與基材表面之 間。例如,反應區包含一劑量閥之下游,反 應區中安排有一基材。 每一脈衝/劑量之持續時間為可變的並可 以調整,以配合例如處理室之體積容量及耦 接至其上之真空系統的容量。另外,化合物 13 1333234 的摻雜時間也可以依據化合物流率、化合物 及/或處理環境壓力、化合物及/或處理環境 之溫度、計量閥(d 〇 s i n g v a 1 v e )類型、控制系 統類型、及化合物吸附至基材表面的能力加 以變化。摻雜時間也可以基於予以形成之層 的類型及予以形成之裝置的幾何形狀加以變 化。 典型地,現行本技藝中所用之處理條件及 設備中,每一脈衝/劑量之持續時間或”劑量 時間”係約1 .0秒或更少。然而,劑量範圍可 以由微秒至毫秒至數秒,甚至到分,這係取 決於上述諸因素而定。一般而言,劑量時間 應足夠長,以提供足夠化合物體積以吸附/化 學吸附至基材表面並形成一層。 第 3圖例示一處理室 200之部份剖面圖, 以形成依據本發明實施例之阻障層。此一處 理室 200為由美國加州聖塔卡拉之應用材料 公司所購得,及室 200被簡要說明如下《更 詳細說明則可以於 2 0 0 1年十二月 2 1曰申請 之第 10/032,284 號名為”用於原子層沉積之 配氣設備與方法”中找到,該案係併入作為參 考。 處理室 200 可以被整合為整合式處理平 台,例如由應用材料公司購得之 Endura 平 台。Endura平台的細節係描述於共同受讓之 申請於 1999年十一月 20曰之第 09/451,628 號名為’’整合模組化處理平台”之中,該案係 併入作為參考。 參考第 3圖,室 2 0 0包含一室主體 2 0 2, 具有一狭缝閥 208,其形成於側壁 204 中及 14 1333234 —基材支撐 212中。基材支撐212係安裝至 舉升馬達 214,以上升及下降基材支撐 212 及支撐於其上之基材 210。基材支撐 212可 以包含真空吸盤及靜電吸盤,或一夾環,用 以於處理時將基材 210固定至基材支撐 212 上。再者,基材支撐 212可以使用内藏加熱 元件,例如電阻加熱器加以加熱,或者,使 用例如安裝在基材支撐 212上之加熱燈之輻 射熱加以加熱。一沖洗環 2 2 2可以安裝在基 材支撐 212上,以界定一沖洗通道 224,其 提供沖洗氣體,以防止沉積在基材 2 1 0之週 邊部份。 一配氣設備 230 係位室主體 202 之上部 份,以提供例如處理氣體及/或沖洗氣體之氣 體給室 2 0 0。一真空系統 2 7 8 係與抽氣通道 279相通,以自室 200將氣體抽出並協助在 室 200之抽氣區 266内,維持一想要壓力或 想要壓力範圍。 配氣設備 230 包含一室蓋 232,具有一延 伸通道 234形成在其中心部份。室蓋 232也 包含一底面 260,由延伸通道 234延伸至室 蓋 2 3 2之週邊部份。底面 2 6 0係被作出大小 及形狀以實質覆蓋安排在基材支撐 212上之 基材 210。延伸通道 234具有一内徑,其逐 漸地由上部份 237增加至中間部份 272至鄰 近室蓋 232底面 260之下部份 235。流經其 間流經延伸通道 2 3 4之氣體速度由於氣體擴 張而降低。降低之氣體速度降低了吸附在基 材210表面上之反應物之吹離。 配氣設備 230 也包含至少兩高速致動閥 15 1333234 2 4 2 A A 242B,具有一或多個埠。至少一閥 2 4 2 A、2 4 2 B 係專用於每一反應化合物。例 如,第一閥係專用於耐火含金屬化合物,例 如鈕及鈦,及一第二閥係專用於含氮化合 物。當想要一個三元材料時,則一第三閥係 專用於另一化合物。例如,若想要一石夕化物, 則其他化合物也可以是一含石夕化合物。 閥 242A及 242B也可以為任一閥,其可以 精確及重覆地輸送短脈衝化合物進入室主體 2 0 2者。於部份例子中,閥 2 4 2 A、2 4 2 B之開 /閉循環或脈衝可以被設定為快至約1 〇 〇毫秒 或更低。閥 242A、242B 也可以直接為一系 統電腦所控制,例如一主機,或者,為一室/ 應用特定控制器,例如可程式邏輯電腦(PLC) 所控制,其係說明於共同申請之美國專利申 請於2001年三月七曰之第09/800,881號名為 「用於ALD室之閥控制系統」中,該案係併 入作為參考。例如,閥 242A、242B 可以為 電子式控制(EC)閥,其係由曰本之Fujikin之 型號 FR-21-6.35UGF-APD 所購得。 為了促成整個系統之控制及自動化,整合 處理系統可以包含一控制器 2 8 0,其含有一 中央處理單元(CPU)、記憶體及支援電路。該 CPU可以為任一類型之電腦處理機,其可以 用於控制各種驅動器及壓力之工業設定。記 憶體被連接至CPU並可以為一或多個立即可 用之記憶體,例如隨機存取記憶體(RAM)、 唯讀記憶體(ROM)、軟碟、硬碟 '或任意其 他類型之數位儲存,不論是近處或遠端。軟 體指令及資料可以被編碼並儲存於記憶體 16 1333234 内,用以指示該 CPU。該等支援電路也連接 至 CPU,用以以傳統方式支援該處理機。該 支援電路也可以包含快取、電源、時鐘電路、 輸入/輸出電路、次系統等等。 於一特定實施例中,一 TaN阻障層係藉循 環地引入 PDMAT 及氨至基材表面加以形 成。為了起始 TaN層之循環沉積,一例如氬 之載氣/惰性氣體,例如以約 5 0 s c c m 至約 2 5 0 s c c m之流率持續約0 . 1秒至約2秒被引入 處理室 200 中,以穩定化其中之壓力及溫 度。載氣被允許於沉積處理時連續通入,使 ® 得於每一化合物脈衝間只有氬流動。在室溫 度及壓力已經穩定於約 200 °C至約 300 °C並 於約 1 托耳至約 5 托耳之後,第一脈衝之 PDMAT係使用於約 50sccm至約 500sccm之 流速,以約 0 , 1至約 5秒之脈衝時間的載氣 流的氣體源 2 3 8加以提供。一氨脈衝然後由 氣體源239以於約 250sccm至約 2500sccm間 之流率提供,以約 〇 · 1秒至約 1 〇秒之脈衝時 間。 · 於PDMAT及氨間之暫停係約 0 . 1秒至約 5 秒。於各種態樣中,於脈衝間之時間減少提 供較高之處理生產量。另外,流於約lOOOsccm 至約 1 0 s 1 m間之氬氣係連續地由氣體源 2 4 0 經由每一閥 242A、242B提供。於一態樣中, 當氨脈衝進入時’一 PDMAT脈衝仍可以於一 室内。一般而言,載氣及/或泵抽氣之持續時 間應足夠長,以防止PDMAT及氨脈衝一起混 合於反應區内。
加熱器溫度係維持於約 1 0 0 °C至約 3 0 0 °C 17 1333234 之間,於約1 . 0托耳至約 5 . 0托耳間之室壓。 由一 PDMAT脈衝、一暫停、一氨脈衝、及一 第二暫停每一循環可以形成一具有厚度約 0.3埃至約1.0埃之氮化钽膜。也可以重覆其 他順序,直到想要膜厚完成為止。此一想要 厚度係低於約 2 0埃,典型約 1 0埃。因此, 沉積方法需要於約10至約 70循環,更典型 係於約 2 0至 3 0循環間。 於另一態樣中,一具有厚度低於約 20埃, 例如 10 埃之三元阻障層係藉由提供一或多 個脈衝之财火含金屬化合物、一或多個脈衝 之含氮化合物、及一或多個脈衝之含石夕化合 物加以沉積。每一脈衝係被調整,以提供想 要之組成物、矽加入高度、厚度、密度及耐 火金屬氮化矽層之步階覆蓋。於此所用之「三 元阻障層」表示一材料,具有含三種主要元 件,例如鈦、氮及碎之組成物。一例示 「三 元阻障層」也可以包含组、氮及石夕。 每一脈衝係依序地執行,隨後,在上述之 相同處理條件下,進行載氣/惰性氣體的分離 流動。載氣/惰性氣體流可以在每一脈衝之反 應化合物間加脈衝或者載氣/惰性氣體流可 以於整個沉積製程中持續。 較佳地,該三元阻障層係由鈦矽氮化物構 成。於此一實施例中,每一循環由一脈衝之 含欽化合物、一第一暫停、一脈衝之含石夕化 合物、一第二暫停、一脈衝之含氮化合物、 及一第三暫停所構成。例示之含鈦化合物包 含四(二甲胺)鈦 (TDMAT)、四(乙基甲基胺鈦 18 1333234 (ΤΕΜΑΤ)、四(二乙胺)鈦(TDEAT)、四氣化 鈦(TiCl4)、碘化鈦(Til4)、溴化鈦(TiBr4)、及 其他欽函化物。例示之含石夕化合物包含石夕甲 烷、二矽甲烷、曱基矽烷、二曱基矽烷、氯 矽烷(SiH3Cl)、二氣矽烷(SiH2Cl2)、及三氣 矽烷(S i H C 13 )。例示之含氮化合物包含:氨、 肼、甲基肼、二曱基肼、第三丁基肼、苯基 肼、偶氮異丁烷、乙基疊氮、其衍生物、及 其組合物。 為了起始一 TixSiyN層之循環沉積,氬被 引入處理室 200内,以穩定化其内之壓力及 溫度。氬流於沉積製程中為連續,只有氬流 動於每一反應物化合物脈衝之間。氬氣流係 約 1 0 0 s c c m 至約 1 0 0 0 s c c m之間,例如於約 1 0 0 s c c m至約 4 0 0 s c c m之間。於一實施例中, 室壓及溫度被穩定於約 250 °C及 2托耳,及 一 TDMAT 脈衝係被以約 10 seem 至約 1 0 0 0 s c c m之流速加以提供,以約 0 · 6秒或更 低之脈衝時間。在TD Μ AT脈衝後及在矽烷脈 衝前之暫停係約 1 . 0 秒或更少,較佳約 0.5 秒或更少,最好是約 0 . 1 秒或更少。一脈衝 之石夕烧係以約 5 s c c m至約 5 0 0 s c c m之流率提 供,以約 1秒或更低之脈衝時間。在矽烷脈 衝後及氨脈衝前之暫停係約 1 . 〇秒或更低, 約 0.5秒或更少,或約 0 . 1秒或更少。氨脈衝 然後以約 1 0 0 s c c m至約 5 0 0 0 s c c m之流率加以 提供,以約 0.6秒或更少之脈衝時間。在氨 脈衝後之暫停約1 · 〇秒或更低,約 〇 · 5秒或更 低,或約 0 . 1 秒或更低。於一態樣中,當矽 烷脈衝進入時,TDM AT 脈衝仍可以在該室 19 1333234 内,及當氨進入時,一碎烧脈衝仍可以在該 室内。 室溫係被維持於約 1 0 0 °C至約 3 0 0 °c之 間,室壓於約 1 . 0托耳至約 5 . 0托耳之間。由 一 TD Μ AT脈衝、暫停、矽烷脈衝、暫停、脈 衝氨、及暫停構成之循環在每一循環下,提 供厚度約 0.3埃至約1.0埃之鈦矽氮化物層。 也可以重覆其他順序,直到得到想要之厚度 為止。典型地,想要厚度係低於約 20埃,例 如 10埃。因此,沉積方法需要 10至約 70循 環。 於另一態樣中,一具有厚度約 20 埃或更 少,例如 1 0埃之α相钽(a - T a)層可以沉積在 先前沉積之二元(TaN)或三元(TiSiN)層之至 少一部份上。該a -Ta層可以使用傳統技術, 例如 PVD及 CVD加以沉積,以形成一雙層堆 疊。例如,雙層堆疊可以包含由前述循環層 沉積法所沉積之TaN部份及由高密度電漿物 理氣相沉積(HDP-PVD)所沉積之a -Ta部份。 為了進一步例示,該堆疊之a -Ta部份可以 使用一離子化金屬電漿(IMP)室,例如由美國 加州聖塔卡拉之應用材料公司所構成之 Vectra室加以沉積。該 IMP室包含一乾材、 線圈及偏壓基材支撐件,並可以整合入由應 用材料公司所購得之Endura平台上。於約0.5 千瓦至約 5千瓦之功率被加至該靶材,及於 約 0.5千瓦及 3千瓦間之功率被施加至該線 圈。於約 2 0 0 瓦及約 5 0 0 瓦間之功率以約 13.56MHz 之頻率之電力係被施加至基材支 撐件上,以偏壓該基材。氬以約 35sccm至約 20 1333234 85sccm之流率通入室中,氮被以約 5sccm至 約 lOOsccm之流率通入室中。室之壓力係典 型於約 5毫托耳至約 100毫托耳之間,而室 溫於約 2 0 °C至約 3 0 0 °C之間。 再次參考第 2圖,於沉積阻障層 130之前, 有圖案或被蝕刻之基材介電層 112 被預清 洗。例如,反應氣體被激勵為在遠端電聚源 室内之電漿,該電聚源室係例如由美國加州 聖塔卡拉應用材料公司所購得之 Reactive Pre-clean室或 Pre-Clean II室。預清洗也可 以在金屬CVD或PVD室内藉由將該室連接至 一遠端電漿源加以完成。或者,具有配氣系 統之金屬沉積室可以被修飾以經由排出氣體. 入口 ,例如在基材上之配氣喷氣頭,而配送 預清洗氣體電漿。 於一態樣中,反應預清洗處理氣體由來自 一或多個反應氣體,例如氬、氣、氫、氮、 含氟化合物、氧化氣體及其組合,之一或多 個電漿形成原子團。氧化氣體可以由氧、臭 氧、一氧化二氮、一氧化氮、及h2o氣體之 群組中所選出。 蝕刻基材介電層 1 1 2可以被預清洗作為整 合基材處理順序之一部份。一處理順序之簡 例係示於第 4圖中。首先,一介電層被沉積 於一基材上,如步驟 152所示。該介電層然 後使用傳統光阻圖案化及蝕刻技術加以蝕 刻,以在其中形成一特徵結構,例如步驟1 5 4 所示。光阻然後由基材剝離,如步驟 1 5 6所 示,及基材被去氣,如步驟 158所示。再者, 基材被預清洗,如步驟 160所示。於預清洗 1333234 後,一阻障層被沉積在基材上,如步驟 162 所示。一種層被沉積在基材上,如步驟 164 所示,及在步驟 166,一金屬層被電鍍於種 層上。 雖然基材的預清洗可以以上述任意氣體形 式電漿加以進行,但意想不到地發現以自特 定氣體之電漿形式完成之預清洗特定介電層 材料加強了後續以例如原子層沉積之循環沉 積製程來沉積在基材上 TaN阻障層之沉積。 第 5圖顯示當具有曝露之含碳低 k介電材 料,例如氧碳化矽、摻氧碳化矽、及碳為主 介電材料的基材被以氬加以預清洗,再被去 氣時,在20循環之TaN原子層沉積後,其係 被估計為在基材上之Ta量,沉積在一基材上 之TaN量增加。第 6、7及 8圖分別顯示以歐 格電子頻譜儀量測在第 5圖之介電材料表面 對在基材上之 Ta量之碳原子%、矽原子%及 氧原子%。如此所用,「原子%」係被計算為 沒有氫的情形下。第 5、6、7及 8圖顯示相 較於含有約 2 0 %碳原子至約 9 5 %碳原子而未 去氣及氬預清洗之含碳介電層,包含有約 2 0%碳原子至約 95%碳原子之去氣及氬預清 洗含碳介電層已經改良了 TaN沉積。明確地 說,相較於含約 3 0 %碳原子至約 9 5 %原子碳 之含碳介電層而未去氣及氬預清洗者,以在 基材上之 Ta的數量估計,包含約 3 0原子% 至約 9 5 %碳原子之含碳介電層已經改良了 TaN 沉積。一來自氬及氧化氣體、氦及氧化 氣體、氮、氫、或其來自一氧化氣體的一第 一電漿之電漿及隨後來自氬之第二電漿也可 22 Γ333234 以用以替 電層,例 原子至約 9 5 %碳原-氧化二氮 選出。 以來自 氮或來自 氬之第二 藉由循環 為具有這 預清洗可 埃之氧化 不會有大 為主介電 預清洗後 預清洗前 係被顯示 表面上之 親水性基 核速率。 於以下 層可以為 層,其包 約 3 0 %碳 以以來自 氮、氫及 來自氬之 處理順 以說明。 代氬電漿,用以預清洗低 k含碳介 如,含碳介電層’其包含約 20%碳 9 5 %碳原子或約 3 0 %碳原子至約 F。該氧化氣體可以由氧、臭氧、一 、一氧化氮、及H20氣體之群組中 氬、氬及氧化氣體、氦及氧化氣體、 氧化氣體的第一電漿,隨後有來自 電漿之預清洗含碳介電層可以改良 沉積製程的 TaN沉積的成核率,因 些電漿之任一電漿之含碳介電層之 以在含碳介電材料上,建立一薄50 物狀表面,該介電材料表面典型並 量之氧。例如,在預清洗前,一碳 層之表面為 1.25%氧原子,在以氬 ,其表面具有 6.88%氧原子。在氬 後,另一介電材料表面上之氧原子% 於第 8圖中。吾人相信在氧化物狀 Ta-Ο 鍵的形成或在預清洗基材之 表面可以加強在基材上之 TaN之成 所述之處理順序之實施例中,介電 一含碳介電層,例如一含碳介電 含約 2 0%碳原子至約 9 5 %碳原子或 原子至約 9 5 %碳原子,及預清洗可 氬、氬及氧化氣體、氦及氧化氣體、 來自一氧化氣體之第一電漿隨後以 第二電漿加以進行。 序之一實施例將參考第 2及 4圖加 一介電層 112係於步驟 152中被沉 23 Γ333234 積在基材 100之下層金屬層 110上。下層金 屬層 110 可以為一銅層或包含銅。如上所 述,介電層 112可以為任意介電材料,包含 低k介電材料(k$4.0),其可以是已知或是未 被發現者。例如,介電層 112可以為二氧化 矽或摻碳之二氧化矽。介電層 1 1 2可為多孔 低 k層,例如多孔摻碳二氧化矽。介電層可 以包含摻氟矽玻璃(FSG)、由美國加州聖塔卡 拉應用材料公司所靖得之黑鑽石膜、極端低 k(elk)介電膜、或其組合。介電層 112可以藉 由在例如美國加州聖塔卡拉應用材料公司所 構得之 Producer室或 DxZ 室之電聚處理室 中,以傳統技術加以沉積。 再者,於步驟 154中,介電層被蝕刻。介 電層 1 1 2可以使用傳統光阻圖案及蝕刻技術 加以蝕刻,以在其中形成如孔之特徵結構 1 1 4。光阻然後在步驟1 5 6中,使用傳統光阻 去除技術自基材剝離。在光阻剥離後,基材 1 0 0在步驟 1 5 8中,例如藉由加熱基材以約 2 5 0 °C至約 4 0 0 °C以上,在於約 1 0 ·7至約 10 托耳之壓力下,持續約3 0至約1 8 0秒加以去 氣。例如,基材可以以約 3 5 0 °C加熱約 1〇〇 秒。 在基材100被去氣後,基材然後在步驟160 中被預清洗。該預清洗可以修飾例如敏密化 银刻基材介電層 112之曝露表面,包含特徵 結構 1 1 4之側壁 1 1 6。預清洗已银刻介電層 112可以包含將基材 100曝露至來自一或多 個氣體的一或多個電漿,該等氣體係由氬、 氦、氫、氮、含氟化合物、氧化氣體及其組 24 1333234 合之群組中選出。基材 100也可以在預清洗 室内曝露至電聚,該預清洗室係例如由美國 加州聖塔卡拉應用材料公司所購得之 Pre-Clean II室。也可以使用其他類型之電漿 處理室。一或多個氣體被以於約 5sccm至約 5 0 0 s c c m 之流率通入室中。典型地,藉由供 給例如300瓦之RF功率至包圍處理室之一線 圈及施加例如約300瓦之RF偏壓至支撐基材 的基材支撐件上,而在處理室中產生電漿。 或者,電漿可以使用一遠端電漿源或同時使 用一遠端電漿源及一内部 RF 電源而加以產 生。較佳地,基材 1 0 0係被曝露至氬、氮、 或氮及氫混合物之電漿。基材 100可以曝露 至電漿,持續於約1秒至約 60秒。電漿曝露 之長度可以依據所用之電漿源氣體及基材的 組成加以改變。 或者,在預清洗後,基材100可以曝露(未 示出)至一氫電聚或曝露至一熱氫處理,例如 在溫度 2 5 0 °C以上,曝露至沒有射頻電源之 氫氣流中。吾人相信將基材曝露至氫可以降 低例如氧化銅之金屬氧化物,其可能在前一 基材處理步驟中,形成在特徵結構 114之地 板 1 1 8上。 在基材 100預清洗並選擇地曝露至氫電漿 或熱氫處理後,一阻障層 130被沉積在基材 100上,如第 2B圖所示,並說明於第 4圖之 步驟 162中。阻障層 130可以藉由例如上述 之循環沉積處理之循環沉積製程加以沉積。 例如,一如 10埃之阻障層的 TaN阻障層可以 藉由一循環沉積製程加以沉積。或者,阻障 25 1333234 層可以藉由一化學氣相沉積製+程加以沉積。 例如,TiSiN阻障層也可以以化學氣相沉積法 加以沉積。 參考第2C及4圖,種層 140可以使用高密 度電漿物理氣相沉積(HDP-PVD)法加以在步 驟 164中沉積於一阻障層 130上,以完成良 好之保角覆蓋率。HDP-CVD室之例子為由美 國加州聖塔卡拉應用材料公司所購得之 Self-Ionized Plasma SIP室,其係可以併入應 用材料公司之E n d u r a平台中。當然,例如物 理氣相沉積、化學氣相沉積、無電電鍍及電 鍵法之其他技術也可以使用。 一典型 SIP室包含靶材、線圈及偏壓基材 支撐件。為了形成銅種層,於約 0.5 千瓦至 約 5千瓦間之功率被施加至靶材,及於約 0 · 5 千瓦至約 3千瓦之功率被施加至該線圈。於 約200至約 500瓦之頻率約 13.56MHz的功率 被施加以偏壓該基材。氬被以約 3 5 s c c m至約 8 5 s c c m 之流率通入該室,氮也可以以約 5 s c c m 至約 lOOsccm之流率通入該室。室之 壓力典型係約 5毫托耳至約100毫托耳。 或者,由銅構成或含銅合金之種層 140可 以以任意適當技術,例如物理氣相沉積、化 學氣相沉積、無電電鍍或其組合技術加以在 步驟 164中沉積。較佳地,一銅合金種層 140 包含鋁並使用上述PVD技術加以沉積。於沉 積時,處理室被維持於約 0.1毫托耳至約 10 毫托耳之壓力。靶材包含銅並有約 〇至約 5 原子重量百分比之铭。乾材可以以於約 5千 瓦至約 1 0 0千瓦間之功率被直流偏壓。托架 26 1333234 可以以約 1 0瓦至約 1 Ο Ο 0瓦之功率被 R F偏 壓。銅或銅合金種層 140可以在基材的頂面 上,被沉積至約 1 0 0埃至約1 5 0 0埃,例如約 5 0 0埃之厚度。 參考第2D圖,金屬層142較佳為銅並被使 用 CVD、PVD、電鍍或無電電鍍技術加以沉 積於種層 140上。金屬層可以藉由電鍍法沉 積在種層上,如第 4圖之步驟 166所示。較 佳地,銅層 142被形成在電鍍槽内,其可以 是由美國加州聖塔卡拉之應用材料公司所購 得之 Electra Cu ECP 系統。該 Electra C u E C P 系統可以被整合入由應用材料公司所購得之 Endura平台内 。 一銅電解溶液及銅電鍍技術係被描述於名 為”電沉積化學”之共同受讓人之美國專利第 6, 113, 771號案中,該案係併入作為參考。電 鍵槽可以包含本技藝中所知之添加劑。槽的 溫度典型係於約1 5 °C至約2 5 °C之間,具有約 -1 5伏至約 1 5伏之偏壓。於一態樣中,正偏 壓範圍由約0.1伏至約10伏,及負偏壓範圍 由約-0 . 1伏至約-1 0伏。 或者,也可以在金屬層 142沉積後.,執行 一回火處理,藉以使該晶圓受到於溫度約1 〇 〇 °C至約 4 0 0 °C間之一溫度,例如約 3 5 0 °C ,持 續約 1 0分至約 1小時,較佳約 3 0分。例如 氦、氫、氮或其混合之載氣/沖洗氣體被以 約 lOOsccm至約 lOOOOsccm之流率通入。室 壓被維持於約 2托耳至約1 0托耳。R F功率 係約 200瓦至約 1000瓦,以約 13.56MHz之 頻率,較佳之基材間距係約3 0 0密耳至約 8 0 0 27 1333234 密耳間。 沉積後,所得結構之頂部份可以被平坦 化。一化學機械研磨(CMP)設備可以被使用, 其係例如由美國加州聖塔卡拉之應用材料公 司所購得之 Mirra系統。或者,結構之中間 表面可以在上述後續層之沉積間加以平坦 化。 於本發明之另一態樣中,如第 9A-9D圖所 示,有姓刻停止層 310之基材 300可以預清 洗作為一整合基材處理順序的一部份。一處 理順序列之簡要說明係顯示於第1 〇圖中。第 1 0圖首先顯示一蝕刻停止層被沉積在一基材 上,如步驟 172所示。再者,一介電層係如 步驟 174所示沉積在餘刻停止層上。介電層 被使用傳統光阻圖案及蝕刻技術,在步驟1 7 6 中被蝕刻至蝕刻停止層,然後,基材如步驟 178 所示被去氣。再者,基材被預清洗,如 步驟 1 8 0所示。然後,如步驟 1 8 2所示,蝕 刻停止層被蝕刻,及如步驟 1 8 4所示,光阻 被自基材上剝離。一阻障層然後被沉積在該 基材上,如步驟 186,再者,如步驟 188所 示,一種層被沉積在基材上,及如步驟 190 所示,一金屬層被電鍵在種層上。 處理順序之實施例將參考第 9A-9D 及 10 圖加以說明。在步驟 174之介電層 312被沉 積在基材 300,如第 9A圖所示及第 10圖所 述之前,一蝕刻停止層 3 1 0係在步驟 1 7 2沉 積在基材 300之下層金屬層 302之上。下層 金屬層 302可以為一銅層或包含銅。蝕刻停 止層 310可以為一 SixNy層。如上所述,介 28 1333234 電層 312可以為包含低 k介電材料(k$4.0) 之任意介電材料,其可以是已知或未知者。 例如,介電層 312可以為二氧化矽或摻碳二 氧化矽。介電層 3 1 2可以為多孔低 k層,例 如多孔摻碳二氧化矽。介電層可以包含摻氟 矽玻璃(FSG)、由美國加州聖塔卡拉應用材料 公司所購得之黑鑽石膜、極端低 k(elk)介電 膜 '或其組合。介電層 312可以藉由在例如 美國加州聖塔卡拉應用材料公司所購得之 Producer室或DxZ室之電漿處理室中,以傳 統技術加以沉積。 再者,於步驟 176中,介電層被姓刻至敍 刻停止層。介電層 3 1 2可以使用傳統光阻圖 案及蝕刻技術加以蝕刻,以在其中形成如孔 之特徵結構 314。於介電層 312被蝕刻時, 較佳地,蝕刻停止層 3 1 0並未被蝕刻。在介 電層 312被蝕刻後,基材 300於步驟 178中 被藉由加熱基材至約 3 5 0 °C ,持續約 1 〇 〇秒 加以去氣。 在基材被去氣後,基材然後在步驟 1 8 0中 被預清洗。該預清洗可以修飾例如緻密化已 蝕刻基材介電層 312之曝露表面 316。預清 洗已蝕刻介電層 312可以包含將基材 300曝 露至來自一或多個氣體的一或多個電漿,該 等氣體係由氬、氦、氫、氮、含氟化合物、 氧化氣體及其組合之群組中選出。在蝕刻停 止層 310之前,預清洗基材,下金屬層 302 之再濺射至已蝕刻介電層 312之曝露面 316 之情形也被最小化。基材 3 0 0也可以在預清 洗室内曝露至電漿,該預清洗室係例如 29 1333234
Pre-Clean II室。也可以在同一室内預清洗基 材,其中介電層 312被蝕刻者。也使用其他 類型之電漿處理室。典型地,藉由供給例如 300瓦之RF功率至包圍處理室之一線圈及施 加例如約300瓦之RF偏壓至支撐基材的基材 支撐件上,而在處理室中產生電漿。較佳地, 基材可以曝露至一氬電漿。該基材可以曝露 至該電漿持續於約1秒至約 60秒之間。 在預清洗後,在步驟 1 8 2中,蝕刻停止層 3 1 0被自特徵結構 3 1 4之底部移除,例如藉 由傳統蝕刻技術。保留在基材上之殘留光阻 (未示出)係在步驟 184 中被去除。光阻也可 以在與去除光阻之同一室内被去除,或在一 不同室内進行。 或者,在去除姓刻停止層及光阻後’基材 可以曝露至一氫電漿或曝露至一熱氫處理, 例如在溫度 2 5 0 °C以上,曝露至沒有射頻電 源之氫氣流中。吾人相信將基材曝露至氫可 以降低例如氧化銅之金屬氧化物,其可能在 蝕刻停止層去除後所露出之下層金屬層上。 或者,基材被再次去氣及預清洗,使用與上 述在移除姓刻停止層所執行之去氣與預清洗 步驟相同之方法。第二次去氣及預清洗可以 協助去除在基材表面上之濕氣或污染物。 如第9B圖所示,一阻障層 330被沉積在基 材 300上。阻障層 330可以藉由例如於此所 述之循環沉積處理之循環沉積製程加以沉 積。例如,一如 10埃之阻障層的 TaN阻障層 可以藉由一循環沉積製程加以沉積。或者, 阻障層可以藉由一化學氣相沉積製程加以沉 30 1333234 積。例如,T i S i N阻障層也可以以化學氣相沉 積法加以沉積。 參考第9C圖,種層340可以使用高密度電 漿物理氣相沉積(HDP-PVD)法加以在步驟 188中沉積於阻障層 330上,以完成良好之 保角覆蓋率。HDP-CVD 室之例子為 Self-Ionized Plasma SIP 室。當然,例如物理 氣相沉積、化學氣相沉積、無電電鍍及電鍍 法之其他技術也可以使用。 一典型 SIP室包含靶材、線圈及偏壓基材 支撐件。為了形成銅種層,於約 0.5 千瓦至 約 5千瓦間之功率被施加至靶材,及於約 0·5 千瓦至約 3千瓦之功率被施加至該線圈。於 約200至約500瓦之頻率約13.56MHz的功率 被施加以偏壓該基材。氬被以約 3 5 s c c m至約 8 5 s c c m 之流率通入該室,氮也可以以約 5sccm至約 lOOsccm之流率通入該室。室之 壓力典型係約 5毫托耳至約1 0 0毫托耳。 或者,由銅構成或含銅合金之種層 340可 以以任意適當技術,例如物理氣相沉積、化 學氣相沉積、無電電鍍或其組合技術加以沉 積。較佳地,一銅合金種層 340包含铭並使 用上述PVD技術加以沉積。於沉積時,處理 室被維持於約0.1毫托耳至約毫托耳之壓 力。靶材包含銅並有約 〇至約 5原子重量百 分比之鋁。靶材可以以於約 5千瓦至約 100 千瓦間之功率被直流偏壓。托架可以以約1 〇 瓦至約 1000瓦之功率被RF偏壓。銅或銅合 金種層 340可以在基材的頂面上,被沉積至 約100埃至約 1500埃,例如約 500埃之厚度。 1333234 參考第 9D圖,金屬層 342較佳為銅並使用 CVD、PVD、電鍍、無電電鍍技術加以沉積於 種層 340上。金屬層可以藉由如上述第 10圖 之步驟 190之電鍍法加以沉積於種層上。較 佳地,種層 3 4 2係被形成在例如由美國加州 聖塔卡拉應用材料公司所購得之 Electra Cu ECP系統之電鍍槽内。該 Electra Cu ECP系 統也可以整合入由應用材料公司所購得之 Endura平台上 。 一銅電解溶液及銅電鍍技術係被描述於名 為”電沉積化學”之共同受讓人之美國專利第 6, 113, 771號案中,該案係併入作為參考。電 鍍槽可以包含本技藝中所知之添加劑。槽的 溫度典型係於約1 5 °C至約 2 5 °C之間,具有約 -15伏至約 15伏之偏壓。於一態樣中,正偏 壓範圍由約 0.1伏至約10伏,及負偏壓範圍 由約-0 . 1伏至約-1 0伏。 或者,也可以在金屬層 342沉積後,執行 一回火處理,藉以使該晶圓受到於溫度約1 〇 〇 °C至約 4 0 0 °C間之一溫度,例如約 3 5 0 °C ,持 續約 1 0分至約 1小時,較佳約 3 0分。例如 氦 '氫、氮或其混合之載氣/沖洗氣體被以約 lOOsccm至約 lOOOOsccm之流率通入。室塵 被維持於約 2托耳至約 1 0托耳。R F功率係 約 200瓦至約 1000瓦,以約 13.56MHz之頻 率,較佳之基材間距係約 3 0 0密耳至約 800 密耳間。 沉積後,所得結構之頂部份可以被平坦 化。一化學機械研磨(CMP)設備可以被使用, 其係例如由美國加州聖塔卡拉之應用材料公 32 1333234 司所購得之 Mirra系統。或者,結構之中間 表面可以在上述後續層之沉積間加以平坦 化。 第 11 圖為可以採用以執行如上述之製程 之例示多室處理系統 6 0 0之俯視圖。此一處 理系統 600可以為美國加州聖塔卡拉應用材 料公司所購得之E n d u r a系統。一類似之多室 處理系統係被揭示於公開於 1 9 9 3年二月 16 曰之名為”分級式真空處理系統與方法”的美 國專利第 5, 186,718號案中,該案係併入作為 參考。 系統600大致包含真空隔絕室 602、604, 用以傳送基材進出系統 600。典型地,因為 系統600為真空,所以真空隔絕室602 、 604 可以將引入系統6 0 0中之基材「抽真空」。一 第一機械手臂 610可以傳送基材於真空隔絕 室 602、604之間,及第一組之一或多個基材 處理室 612、614、616、618(顯示有四個)。 每一處理室 612、614、616、618可以執行若 干基材處理作業,例如循環層沉積、化學氣 相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、 預清洗、去氣、轉向、及其他基材處理。第 一機械手臂 610也將基材傳送進出一或多個 傳送室 622、624。 傳送室 622、624 係用以維持超高真空狀 況,同時,允許基材被傳送於系統 600内。 一第二機械手臂 630也可以傳送基材於傳送 室 622、 624 及一第二組一或多個處理室 632、634、636、638之間。類似於處理室 612、 614、 616、 618,處理室 632、 634、 636、 638 33 1333234 可以配合以執行各種基材處理操作,例如循 環層沉積、化學氣相沉積(C V D )、物理氣相沉 積(PVD)、蝕刻、預清洗、去氣、蝕刻、及轉 向。若系統 600並不需要執行一特定處理, 則任一基材處理室 612、614、616、618、632、 634、636、638均可以由系統 600移除。 於一配置中,每一處理室 632及 638均可 以為一物理氣相沉積室、一化學氣相沉積 室、或一循環沉積室,其可以採用以沉積一 種層;每一處理室 634及 636均可以為一循 環沉積室、一化學氣相沉積室、或一物理氣 相沉積室,適用以沉積一阻障層;每一處理 室 612及 614均可以為一物理氣相沉積室、 一化學氣相沉積室或一循環沉積室,其適用 以沉積一介電層;及每一處理室 616及 618 均可以為一蝕刻室,適用以蝕刻孔徑,例如 孔或開口作為内連線特徵結構。系統 6 0 0之 操作可以為一微處理機控制器 6 5 0所控制。 微處理機控制器 650可以為任意裝置或裝置 的組合,以執行於此所提供之操作。因此, 微處理機控制器 6 5 0可以為一控制器或控制 器陣列及/或一般目的電腦,其被裝有軟體, 當被執行時,執行了本發明之操作。系統600 之一特定配置被提供以例示本發明並不作用 以限定本發明之範圍。 以下例子係想要提供本發明實施例之非限 定示範。 例子 一極端低 k(k<3)介電層係被沉積於一基材 34 1333234 上。介電層然後被蝕刻,以在其中,形成一 特徵結構,例如孔,及在特徵結構底部之一 蝕刻停止層也至少部份被蝕刻。基材被以3 5 0 °C去氣約1〇〇秒。基材在Pre-Cleanll室中預 清洗,其條件如下:3 0 0瓦之R F功率被施加 至包圍室之線圈,300瓦之RF偏壓被施加至 支撐該基材之基材支撐件上,及基材以氬電 漿加以預清洗約 23秒。然後,使用 PDMAT 及NH3之依序曝露,而使一 TaN阻障層沉積 在該基材上。TaN阻障層藉由首先以 lOOsccm 引入氬至室 〇· 1秒,然後’交替以 1 OOOsccm 之NH3脈衝及PDMAT被載於lOOsccm之氬流 中 0 . 5秒,及以 1 0 0 0 s c c m之氬氣流持續於脈 衝之間持續 0.5 秒加以沉積。然後,銅種層 被沉積於阻障層上。一銅填充層將電鍍於該 銅種層上。一 250 °C低溫回火在基板上執行 CMP前加以進行。在 CMP後,基材被回火於 約 3 5 0 °C持續約 3 0分。 第 1 2圖顯示依據例子之基材之 T E Μ圖。 第13圖顯示依據該例子之基材之 ΤΕΜ圖, 除了未執行預清洗步驟外。於第12圖中,TaN 阻障層 704將銅層 700自介電層 702 上分 離,及於第 13圖中,TaN阻障層 724將銅層 720自介電層 722上分離。於第13圖中,在 阻障層旁的介電層區域 726係模糊的。吾人 相信模糊係由阻障層前驅物之擴散入多孔之 介電層所造成。於第12圖中,若在阻障層旁 之介電質區 7 0 6中有模糊,則較少。 可以相信包含於此所述之電漿預清洗處理 順序修飾了介電層之曝露表面,使得阻障層 35 1333234 介洗上面述密 但離由 以示 信清面表上Γ ,脫係 可考 听 相預表層至β 例不圍 構參 置 以漿層電露 施在範 結以 己 可電電介曝Ϊ 實以之 徵可 子 。 之介在層 之可案 特明 原 少述在信電 明例本。 之說 層 減所低相介 發施,定 提細 ^曰1 被此降以將‘ 本實成決 所詳 介 層於由可在 於步完所 中更 為 電為藉。,, 關一下圍 1明之 介係,量徑時 有進圍範 明發明 入面如數孔漿 係或範利 說本發 散表例與份電 述他本專 單在本 擴露,寸部之 前其基請 簡得, 的曝化尺少中 ,之之申 式使解 物之密之至洗 然明明之 圖了了 驅層緻孔之清 J雖發發下 t為細 前電所之上預封 本本以 詳 圖定效 的 連。成。順 明。具 附限等 例 内圖形圖理 發量, ,以他 施 之面之面處 本數量 是用其 實 例剖術剖之 之组數 的不用 明 施構技份例 述之鈕 意並採 發 實結積部施 所上之 注,以 本 明示沉之實 此材上 應例可 之 發例之ο種 於基材 ,施明 述 本之述2各 示同基 而實發 所 據段所室明 顯不同 然型本 此 依階此理發 ,於不 。典為 於 在同於處本 表積在 例之因 據 為不據示據 圖沉積 施明, 依 圖之依例依 一之沉 實發圍 為a2D序為之為 為例為 之本範。圖序A-順圖層圖 圖施圖 圖示之例1順2造3障4 5實6 附例案施第理第製第阻第。第種第 於只本實 處 線 薄 序 各 36 1333234 有本發明之各種實施例之不同原子%。 第 7圖為一圖表,顯示於此所述之本發明 各種實施例之沉積於具有不同矽原子%之不 同基材上之钽數量。 第 8圖為一圖表,顯示於此所述之本發明 各種實施例之沉積於具有不同氧原子°/〇之不 同基材上之钽數量。 第 9A至9D圖為依據本發明實施例之内連 線製造順序不同階段的結構剖面圖。 第 1 0 圖為依據本發明各實施例之處理順 序。 第 11 圖為適用以執行於此所述之内連線 製程順序實施例之例示整合群集工具之平面 圖。 第 12 圖為依據本發明一基材實施例處理 之穿透式電子顯微鏡(TEM)圖。 第 13 圖為依據先前技術所處理之基材的 TEM 圖。 【主要元件符號說明】 100 基材 110 金屬層 112 介電層 114 特徵結構 116 側壁 118 地板 130 阻障層 140 種層 142 金屬層 152 沉積一介電層在基材 154 银刻介電層 156 將光阻自基材剝離 158 將基材去氣 160 預清洗基材 162 沉積一阻障層於基材 164 沉積種層於基材 166 電鐘一金屬層於種層 172 37 沉積蝕刻停止層於基材 Γ333234 174 沉積介電層於蝕刻停止 176 钱刻介電層至钮刻停止 178 將基材去氣 180 預清洗該基材 182 蝕刻該蝕刻停止 184 將光阻自基材剝離 186 沉積阻障層於基材上 188 沉積一種層在基材上 190 電鍍金屬層於種層上 200 處理室 202 室主體 204 側壁 208 狹縫閥 210 基材 212 基材支撐 214 抬舉馬達 222 清洗環 224 清洗通道 230 配氣設備 232 室蓋 234 擴充通道 235 下部份 237 上部份 238 氣體源 239 氣體源 240 氣體源 242A 閥 242B 閥 260 底面 266 抽氣區 272 中間部份 278 真空系統 279 抽氣通道 280 控制器 300 基材 302 金屬層 3 10 停止層 3 12 介電層 314 特徵結構 316 曝露面 330 阻障層 340 種層 342 銅層 480 阻障層沉積 485 種層沉積 487 金屬填充 600 多室處理系統 602 隔絕室 604 阻絕室 610 第一機械手臂 612 基材處理室 614 基材處理室 616 基材處理室 618 基材處理室
38 1333234
622 傳送室 624 傳送室 630 第二機械手臂 632 處理室 634 處理室 636 處理室 638 處理室 650 微處理機控制器 700 銅層 702 介電層 704 阻障層 706 區域 720 銅層 722 介電層 724 阻障層 726 區域 39

Claims (1)

1333234 十、申請專利範圍: 1. 一種處理一基材的方法,其至少包含以下步 沉積一多孔低k介電層在該基材上; . 此積及圖案化在該多孔低k介電層上之一光阻; ,刻該多孔低k介電層,以在該多孔低k介電層中形 將該光阻自該基材上剝離; 將該基材去氣;
預清洗該基材,其中預清洗步驟包含將 f多:氣體的-或多個電毁,該-或多個氣體: 由氯氣 ^;氮、乳化乱體、含氣化合物、及其組合之群組中所選 直接地沉積一阻障層在該多孔低1^介電層上,其中該庇 障層係藉由循環沉積來沉積; 〃 ° 沉積一種層在該基材上;及 電鍍一金屬層在該種層上,以填夯 具兄該多孔低k介電層中 之該孔。 層為 如申請專利範圍第1項所述 一多孔摻氧碳化矽。 之方法,其中該低k介電
法’其中該預清洗包 <方法,其中該預清洗係 4.如申請專利範圍第1項所述 含將基材曝露至一氬電漿。 5.如申請專利範圍第1項所述 執行持續約1秒至約6 0秒之間。 40 1333234 ^如申請專利範圍第1項所述之方法,其中該電漿係藉 由訑加一 RF功率至包圍該處理室之一線圈及施加rf偏壓 至支撐該基材之一基材支撐件,而產生於一處理室中。 7.如申請專利範圍第6項所述之方法,其中施加至該 約300瓦及施加至該基材支撐件之… 8·如申請專利範圍第 含 TaN。 9.如申請專利範圍第 層為一含碳層。 1項所述之方法,其中該阻障層包 1項所述之方法,其中該低k介電
10·如申請專利範圍第9項所述之方法 含20%碳原子至約95%破原子。 ’其中該含碳層約 11 ·如申請專利範圍第10項所述之方法 約含30%碳原子至約95%碳原子。 其中該含碳層 步= 請基=圍法,該預清洗
13. —種處理一基材的方法,至少包含步驟: 在該基材上,沉積一蝕刻停止層; 沉積一多孔低k介電層在該蝕刻停止 沉積及圖案化在該多孔低k介電層上 韻刻穿過該多孔低k介電層至蝕刻停 低k介電層中,形成一孔; 層上; 之一光阻; 止層’以在該多孔 將該基材去氣; 41 I3332J4 材,,中預清洗步驟包含將該基材曝露至來 二虱體的一或多個電漿,該一或多個氣體係由 、虱、氮、含氟化合物、氧化氣體及其組合之群組 T遊出; ' 钱刻該蝕刻停止層; 將該光阻自該基材剝離; 直接地沉積一阻障層在該多孔低!^介 障層係藉由循環沉積來沉積; ? 沉積一種層在該基材上;及 電鍍一金屬層在該種層上,以填充在該多孔低k介電層 中之該孔。 S 14.如申凊專利範圍第13項所述之方法,其中該低k介 電層被蝕刻及預清洗於同一室中。 1 5.如申請專利範圍第13項所述之方法,其中該預清洗 步驟緻密化該多孔低k介電廣之曝露部份。 16.如申請專利範圍第13項所述之方法,其中該低k介 電層係為多孔摻氧之碳化石夕。 17·如申請專利範圍第13項所述之方法,其中該預清洗 步驟包含將該基材曝露至一氬電漿。 18. 如申請專利範圍第丨3項所述之方法,其中該預清洗 步驟係被執行於約1秒至約6 〇秒之間。 19. 如申請專利範圍第13項户斤述之方法’其中該電聚係 藉由施加一 RF功率至一包園該處理室之線圈及施加^^偏 壓至支撐該基材之基材支撐件’而產生於一處理室中。 42 1333234 2 0.如申請專利範圍第 線圈之該RF功率約3〇〇 壓為約300瓦。 19項所述之方法,其中施加至該 瓦及施加至該基材支撐件之RF偏 21·如申請專利範圍第20項所述之方法 包含TaN。 其中該阻障層 22.如申請專利範圍第 電層為一含碳層。 13項所述之方法,其中該低k介 約 23.如申請專利範圍第22項所述 含20%礙原子至約95%碳原子。 之方法,其中該含碳層
24.如申請專利範圍第13項所述之方法,其中該含碳層 約含30%碳原子至約95%碳原子。 曰 25·如申請專利範圍第24項所述之方法,其中該預清洗 步驟包含將基材曝露至來自氬之電漿。 '、 ⑺^
43
TW092136608A 2003-01-07 2003-12-23 Integration of ald/cvd barriers with porous low k materials TWI333234B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US43848003P 2003-01-07 2003-01-07

Publications (2)

Publication Number Publication Date
TW200419642A TW200419642A (en) 2004-10-01
TWI333234B true TWI333234B (en) 2010-11-11

Family

ID=32713333

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092136608A TWI333234B (en) 2003-01-07 2003-12-23 Integration of ald/cvd barriers with porous low k materials

Country Status (3)

Country Link
US (1) US7244683B2 (zh)
TW (1) TWI333234B (zh)
WO (1) WO2004064147A2 (zh)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
JP2005244178A (ja) * 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP2006119379A (ja) * 2004-10-21 2006-05-11 Sumitomo Electric Ind Ltd 光導波路デバイスの製造方法、および光導波路デバイス
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
EP1851794A1 (en) * 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US7550385B2 (en) * 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4567587B2 (ja) * 2005-12-12 2010-10-20 富士通株式会社 半導体装置の製造方法
KR100708529B1 (ko) * 2005-12-14 2007-04-16 동부일렉트로닉스 주식회사 구리 배선 증착 방법 및 장치
KR100772250B1 (ko) * 2005-12-27 2007-11-01 동부일렉트로닉스 주식회사 반도체 다마신 공정에서의 금속배선 형성 방법
KR100710192B1 (ko) * 2005-12-28 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US7625819B2 (en) * 2007-06-01 2009-12-01 Macronix International Co., Ltd. Interconnection process
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8839504B2 (en) * 2008-05-13 2014-09-23 HGST Netherlands B.V. Method of fabricating a device having a sidegap
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP2011009439A (ja) * 2009-06-25 2011-01-13 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
CN102315156A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8524329B2 (en) 2011-12-13 2013-09-03 Lam Research Corporation Electroless copper deposition
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
CN103295958B (zh) * 2013-06-04 2015-09-30 上海华力微电子有限公司 一种制备铜种子层的方法
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11502002B2 (en) * 2018-05-28 2022-11-15 Daicel Corporation Method for manufacturing semiconductor device
US10643899B2 (en) 2018-07-27 2020-05-05 International Business Machines Corporation Gate stack optimization for wide and narrow nanosheet transistor devices
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
US20220098729A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. System and method of cleaning process chambers using plasma
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594216A (en) 1969-06-19 1971-07-20 Westinghouse Electric Corp Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4337279A (en) * 1981-01-23 1982-06-29 Uop Inc. Method for increasing the peel strength of metal-clad polymers
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4568562A (en) * 1984-11-28 1986-02-04 General Dynamics, Pomona Division Method of electroless plating employing plasma treatment
US4735820A (en) * 1987-05-18 1988-04-05 International Business Machines Corporation Removal of residual catalyst from a dielectric substrate
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US4803094A (en) * 1988-05-09 1989-02-07 Myers Richard A Metallized coating
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5017439A (en) * 1989-07-19 1991-05-21 Seagate Technology, Inc. Micro-contamination-free coating for die-cast component in magnetic disk drive
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5203957A (en) * 1991-06-12 1993-04-20 Taiwan Semiconductor Manufacturing Company Contact sidewall tapering with argon sputtering
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5464666A (en) 1995-02-06 1995-11-07 Air Products And Chemicals, Inc. Process for chemical vapor codeposition of copper and aluminum alloys
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030085408A1 (en) * 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
US6620956B2 (en) * 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
TWI230544B (en) * 2002-07-25 2005-04-01 Veutron Corp Light source control method and apparatus of image scanner
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7135408B2 (en) * 2002-10-30 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure

Also Published As

Publication number Publication date
WO2004064147A3 (en) 2004-09-02
US7244683B2 (en) 2007-07-17
US20040256351A1 (en) 2004-12-23
WO2004064147A2 (en) 2004-07-29
TW200419642A (en) 2004-10-01

Similar Documents

Publication Publication Date Title
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
TWI528456B (zh) 於阻障表面上之鈷沉積
TWI633624B (zh) 用於銅阻障層應用之摻雜的氮化鉭
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
JP5702154B2 (ja) 滑らかで凝集しないCuシード層を用いた気泡の存在しない凹部のCu充填体
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
JP2005513813A (ja) 基板上に半導体集積回路用銅配線を形成する方法
JP2007502551A (ja) 銅メタライゼーションのためのald窒化タンタルの集積
TWI223867B (en) Method for forming a metal interconnect on a substrate
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
TWI609095B (zh) 用於氮化錳整合之方法
JP2004153274A (ja) 金属カルボニルを使用して堆積したバリアメタル層を使用したダマシン相互接続の形成方法
JP2006024668A (ja) 半導体装置の製造方法
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
TW202315118A (zh) 經摻雜之含鉭阻障膜
JP2006024667A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent