TWI278932B - Manufacturing method of semiconductor integrated circuit device - Google Patents

Manufacturing method of semiconductor integrated circuit device Download PDF

Info

Publication number
TWI278932B
TWI278932B TW094103535A TW94103535A TWI278932B TW I278932 B TWI278932 B TW I278932B TW 094103535 A TW094103535 A TW 094103535A TW 94103535 A TW94103535 A TW 94103535A TW I278932 B TWI278932 B TW I278932B
Authority
TW
Taiwan
Prior art keywords
oxide film
integrated circuit
manufacturing
circuit device
semiconductor integrated
Prior art date
Application number
TW094103535A
Other languages
English (en)
Other versions
TW200525644A (en
Inventor
Yoshikazu Tanabe
Satoshi Sakai
Nobuyoshi Natsuaki
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of TW200525644A publication Critical patent/TW200525644A/zh
Application granted granted Critical
Publication of TWI278932B publication Critical patent/TWI278932B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/023Deep level dopants
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/116Oxidation, differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1278932 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種半導體積體電路裝置(半導體裝置等) 之製造方法’特別是關於一種適用於形成M0SFET(金屬氧 化物半導體場效電晶體)等之閘氧化膜(絕緣膜)有效之技 術。 【先前技術】 在初期的半導體產業,廣泛適用使氧等載氣通過起泡室 (Bubbler)内的水中的起泡(Bubbling)。此方法雖然有可涵 蓋廣大水分範圍等優點,但不能避免污染問題,最近幾乎 不被使用。 因此,最近作為避免此起泡室缺點的方式,氫氧燃燒法 式’即熱解方式(Pyrogenic system)廣泛普及。 (習知技術文獻之揭示等) 關於成為本案對象的熱氧化改良及為此的水分生成方 法,已知如下的先前技術: (1)大見之特開平6-1635 17號公報揭示半導體處理低溫化 的低溫氧化技術。在同實施例丨揭示以下方法:將氫 從100 ppm到1%添加於由氬約99%、氧約1%構成的氣 氛内,在氫的燃燒溫度攝氏7〇〇度以下,即攝氏45〇度 以下,以不銹鋼觸媒作用得到水蒸氣。再在同實施例 2揭示:在由以氧99%、觸媒生成的水蒸氣1%構成的 氣氛中,在常壓或高壓下,在攝氏6〇〇度的氧化溫度 的矽熱氧化。 O:\99\99337.DOC -6- 1278932 - ⑺料平7·321102公報(吉越)揭示:為了避免起因於水 刀的各種問題,在極低水分漠度,即0.5 ppm程度的 極超低水分領域或乾領域氧化溫度攝氏850度的矽表 面高溫熱氧化。 ⑶本間等之特開照6(M 〇784〇號公報揭示一種石夕之熱氧 方法為了減低因乾氧化的環境水分而水分量分 散,意圖添加以習知方法生成的幾十ppm程度的微少 水分。 ⑷特開平5七2282號公報(大見D揭示一種熱氧化裝置·· 為防止來自上述石英管前端的粒子產生而具備以 沁(鎳)或含有Ni材料構成氫氣導入管内面,同時加熱 氫氣導入管之機構。此熱氧化裝置係使氫接觸加熱到 3〇〇°C以上的氫氣導入管内的川(使含有犯材料)而使氫 活性種產生,藉由使此氫活性種和氧(或含氧的氣體) 反應,生成水。即,以不伴隨燃燒的觸媒方式生成 水,所以沒有氫導入石英管前端溶化而產生粒子的情 形。 (5)特開平6-1 15 903號公報(大見II)揭示一種觸媒方式之水 分產生方法:含有混合氣體製成製程:混合氧、氫及 惰性氣體而製成第一混合氣體;及,水分產生製程: 藉由將第一混合氣體導入反應爐管内,同時加熱反應 爐管内,該反應爐管係以具有可使氫及氧基團化的觸 媒作用的材料構成,使第一混合氣體中所含的氫和氧 反應而使水產生。
O:\99\99337.DOC .127.8932 • 根據此方法,由於在使氫和氧反應的反應管使用使反應 低溫化的觸媒材料,所以反應溫度低溫化,該結果在低溫 可產生水分。因此,供應給加熱氫、氧、惰性氣體之混合 氣體的反應管時,在反應管内在5〇〇 °C以下的溫度,氫和 氧完全反應,所以比燃燒方式在低溫可得到含有水分的氣 體。 此外,此時從通氣部完全排除塑膠材料,只使用金屬材 • 料,再對於金屬表面施以鈍態化處理時,由於來自表面的 放出氣體(水分、碳氫化合物等)極少,所以可使更高純度 的水分以更高精度且廣大範圍(ppb到%)濃度產生。藉由將 施以電解拋光或電解複合拋光的不銹鋼在雜質濃度幾ppb 以下的氧化性或弱氧化性氣氛中熱處理,進行鈍態化處 理。 (6)特開平5-141871號公報(大見III)揭示一種熱處理裝 置:至少具有爐心管:具有搬出入被處理物的可開關 # 開口部和將氣體導入内部的氣體導入口;爐心管加熱 機構:加熱爐心管内部;氣體導入管:使其與氣體導 入口連通而連接;及,加熱機構··加熱氣體導入管; 氣體導入管之至少内表面由Ni(或含有Ni材料)構成。 此熱氧化裝置在比配置於爐心管内部的被處理物位置上 游側設置從氫氣或含有氫的氣體不伴隨電漿而使氫活性種 生成的氫活性種產生機構,將氫氣或含有氫的氣體導入此 鼠活性種產生機構而使氫活性種生成。因此,若在爐心管 内配置例如形成氧化膜的矽基板作為被處理物,則氫活性
O:\99\99337.DOC I27B932 * . 種在氧化膜中擴散,終結氧化臈中及氧化膜/矽界面的懸 空鍵(dangling bonds),所以可期待得到高可靠性的閘氧化 膜。 (7) 大見之特開平5-144804號公報揭示一種以鎳觸媒生成 的氫活性種產生氧化矽膜的熱處理技術。 (8) 中村等在1993年12月1日至2日所舉行的電化學協會電 子材料委員會主辦半導體積體電路技術第45次專題討 論會演講論文集128頁至133頁中,揭示一種在以應用 於快閃記憶體之隧道氧化膜的由觸媒生成的氫基和由 水分產生的氫為主體的強還原性氣氛下的氧化矽製 程。 (9) 大見之特開平6_12〇2〇6號公報揭示一種絕緣分離選擇 磊晶成長區域絕緣膜之利用由鎳觸媒生成的氫活性種 之燒結(sintering)技術。 (10) 小林專之特開昭59-132136號公報揭示一種由通常方 法生成的水分和氫之氧化還原混合氣氛的矽和高熔點 金屬的氧化還原製程。 【發明内容】 (習知技術及關於本發明之考察等) 根據深度次微米之設計規則製造的最尖端M〇s裝置,為 維持被細微化元件的電氣特性而要求以10 nm以下的極薄 膜厚形成閘氧化膜。例如閘長0·35 μη1時,所要求的閘氧 化膜厚為9 nm程度,但閘長變成〇 25 μιη,預料將薄到4 nm程度。
O:\99\99337.DOC 1278932 身又在乾燥氧氣氛中進行熱氧化膜的形成,但形成閘氧 化膜時,從可減低膜中的缺陷密度的理由,向來使用濕式 氧化法(一般水分分壓比數十%以上)。此濕式氧化法係在 氧氣氛中使氫燃燒而生成水,將此水和氧共同供應給半導 體晶圓(製造積體電路用晶圓或只是積體電路晶圓)表面而 形成氧化膜,但因使氫燃燒,所以為避免***的危險而先 使氧充分流動之後,點燃氫。此外,將為氧化種的水+氧 混合氣體之水分濃度提高到40%程度(全氣氛壓力中所佔水 分的分壓)。 然而,上述燃燒方式係點燃從裝在石英製氫氣導入管前 端的喷嘴喷出的氫而進行燃燒,過度降低氫之量,火焰就 接近喷嘴,喷嘴因該熱熔化而產生粒子,這被指出成為半 V體晶圓污染源的問題。(此外,反之過度增加氫之量, 火焰就達到燃燒管端部,熔化此石英壁而成為粒子的原 因)此外,上述燃燒方式由於為氧化種的水+氧混合氣體 之水分濃度高,所以在閘氧化膜中取入氫或〇H基,在薄 膜中或和矽基板的界面容易產生Si_H結合或Si_〇H結合等 構U缺1½。it些結合為》主入熱載子等施加電麼應力所切斷 而形成電荷陷阱,成為臨界電壓變動等引起膜之電氣特性 降低的原因。 又,關於此範圍情況的詳情及利用新式觸媒的水合成裝 置改良的詳情,詳述於本案發明者本人之特開平9_i72〇ii 號公報及本發明者與大見等之國際公開之國際申請pcT/ JP 97/00188 (國際申請曰 1997.1.27)。
O:\99\99337.DOC -10- 1278932 根據本發明者之檢討,習知氧化膜形成方法難以以均勻 膜厚再現性良好地形成高品質且膜厚5 nm以下(關於5 nm 以上當然也可期待同樣的效果)的極薄閘氧化膜。當然, 這以上膜厚的情況,也有各種不足之處。 要以均勻膜厚再現性良好地形成極薄的氧化膜,需比形 成比較厚的氧化膜時降低氧化膜成長速度,以更安定的氧 化條件進行成膜,但例如利用前述燃燒方式的氧化膜形成 方法’為氧化種的水+氧混合氣體之水分濃度只能在丨8〇/〇 到40〇/〇程度的高濃度範圍内控制。因此,氧化膜成長速度 决’薄氧化膜時,在極短時間就形成膜。另一方面,要降 低氧化膜成長速度而將晶圓溫度降到8〇〇 t以下進行氧 化’膜之品質就降低。(若在攝氏8〇〇度以下的溫度領域也 適當調整其他參數,則當然可適用本發明) 此外,要形成清潔的氧化膜,需先以濕式洗滌除去形成 於半導體晶圓表面的低品f氧化膜,但在從此濕式洗務製 权搬運到氧化製程的過程會在晶圓表面不可避免地形成薄 的自然氧化膜。再者,在氧化製程,因在進行本來的氧化 之則和氧化種中之氧的接觸而在晶圓表面形成不希望的初 /月氧化膜4寺別是使用燃燒方式的氧化膜形成方法的情 :為避免氫***的危險而先使氧充分流動之後,使氮燃 ^所以晶圓表面暴露於氧中的時間變長,就厚地形成初 期氧:膜。(-般認為常壓下攝氏560度以上、氫4%以上且 的氧吩,會發生氫的***性燃燒,即「***」) 貝際的氧化膜係除了因本來的氧化而形成的氧化
O:\99\99337.DOC -11- 1278932 膜之外,還含有自然氧化膜和初期氧化膜的結構,但這此 自然氧化膜或初期氧化膜比作為目的的本來的氧化膜為低 質。因此,要得到高品質的氧化膜,必須儘量降低氧化 膜中所佔的這些低品質膜的比例,但使用習知氧化膜形成 方法形成極薄的氧化膜,這些低品質膜的比例反而增加 例如使用習知氧化膜形成方法形成膜厚9 nm的氧化膜 蛉,δ又此氧化膜中的自然氧化膜和初期氧化臈之膜厚分別 為〇·7 nm、0.8 nm,則本來的氧化膜之膜厚成為9一(〇 7 + 0·8)=7·5 nm,所以此氧化膜中所佔的本來氧化膜的比例 為約83.3%。然而,使用此習知方法形成膜厚4 nm的氧化 臈,自然氧化膜和初期氧化膜之膜厚分別為〇·7 nm、〇.8 nm,不變,所以本來的氧化膜之膜厚成為4—*〇.7+〇.8)= 2·5 11111 ,其比例降低到62.5°/❶。即,要以習知氧化膜形成 方法形成極薄的氧化膜,不僅不能確保膜厚的均勻性或再 現性,而且膜之品質也降低。 為了解決這些問題,本發明者注視大見等之觸媒之水分 成方法。根據本發明者等的檢討,這些研究站在「氯芙 壽命長」此一前提,將重點放在氫基的強還原作用,所以 右疋照樣則顯然不能適用於半導體積體電路的量產製程。 即’本發明者等闡明:要適用於半導體製程,需要「氫等 之基團壽命非常短,在觸媒上生成而大致在其上或其附近 回到化合或基礎狀態」此一前提檢討必要的結構。 再者’本發明者闡明:以水分之分壓比而言,〇到
O:\99\99337.DOC -12- 12,78932 . 啊屬於乾領域,顯示所謂乾氧化的性質,關於今後細微 製程中的閑氧化膜等要求的膜質,用不著所謂漁式氧化。 此外本各月者闡明同樣地水分分壓比1 〇 ppm以上 L〇Xl°3 ΡΡ"以下(〇.1%)以下的超低水分領域,基本上顯示 和乾氧化幾乎同樣的性質。 此外,本發明者闡明:同樣地在水分分壓比0.1%以上到 1〇%以下的低水分領域(其中特別是水分分壓比0.5%到5% φ 以下的低水刀7貝域)的熱氧化’和其他領域(乾領域、10〇/〇 以上在燃燒法方式所通用的領域及利用起泡室等的水分濃 度數十/〇以上的间水分領域)比較,顯示比較良好的性質顯 示性質。 、. (本發明之目的等) 本,明之目的在於提供—種可以均句膜厚再現性良好地 形成高品質之極薄氧化膜之技術。 本發明之前述及其他目的和新穎特徵,由本說明書之記 φ 述及附圖當可明白。 (本發明之概要等) 兹簡單說明在本案所揭示的發明中具代表性者的概要如 下: 本發明之半導體積體電路裝置之製造方法含有以下製程 ⑷、(b) ·· (a) 由氫和氧以觸媒作用生成水的製程, m (b) 供應低濃度含有前述水的氧給加熱到預定溫度的半導 ' 體晶圓主面或其附近,以可確保至少形成氧化膜再現性及
O:\99\99337.DOC -13- 1278932 氧化膜厚均勻性程度的氧化膜成長速度形成臈厚5 nm以了 的氧化膜的製程。 本發明之半導體積體電路裝置之製造方法,係前述氧化 膜為MOSFET之閘氧化膜。 本發明之半導體積體電路裝置之製造方法,係前述氧化 膜膜厚為3 nm以下。
本發明之半導體積體電路裝置之製造方法,係前述半導 體晶圓加熱溫度為800到900°C。 本發明之半導體積體電路裝置之製造方法,係前述0)製 程後,藉由在前述半導體晶圓主面施以氧化氮處理,使氮 與氧化膜和基板的界面分離。 本發明之半導體積體電路裝置之製造方法,係以單片處 理進行前述氧化膜的形成。 本發明之半導體積體電路裝置之製造方法,係以整批處 理進行前述氧化膜的形成。 本發明之半導體積體電路裝置之製造方法含有以下製程 ⑷、(b) : ^ (a)由氫和氧以觸媒作用生成水的製程, ⑻藉由供應氧給加熱到預定溫度的半導體晶圓主面或其 附近,該氧係比在不含至少水的乾燥氧氣氛中所形成的氧 化膜可得到優良初期耐壓的濃度的含有前述水之氧 膜厚5nm以下的氧化膜的製程。. / 係前述水之 本發明t半導體積體電路裝置之製造方法 濃度為40%以下。
O:\99\99337.DOC 1278932 本發明之半導體積體電路裝置之製造方法,係前述水之 濃度為0.5到5%。 本發明之半導體積體電路裝置之製造方法含有以下製程 (a)到(c): (a) 將主面形成第一氧化膜的半導體晶圓搬到洗滌部,以 顯式洗膝除去前述第一氧化膜的製程, (b) 不使則述半導體晶圓接觸大氣,而從前述洗條部搬到 φ 惰性氣體氣氛之氧化處理部的製程, (c) 供應低濃度含有因觸媒作用而由氫和氧生成之水之氧 給加熱到預定溫度的前述半導體晶圓主面或其附近,以可 確保至少开> 成氧化膜再現性及氧化膜厚均勻性程度的氧化 膜成長速度形成膜厚5 nm以下的第二氧化膜的製程。 本發明之半導體積體電路裝置之製造方法,係前述第二 氧化膜在其一部分含有自然氧化膜和初期氧化膜,該自然 氧化膜係在除去前述第一氧化膜之後到形成前述第二氧化 # 膜之間,不希望形成於前述半導體晶圓表面,該初期氧化 膜係因和如述氧的接觸而不希望形成於前述半導體晶圓表 面’刖述自然氧化膜和前述初期氧化膜之合計膜厚為前述 第二氧化膜全體膜厚之二分之一以下。 本發明之半導體積體電路裝置之製造方法,係前述自然 氧化膜和前述初期氧化膜之合計膜厚為前述第二氧化膜全 體膜厚之三分之一以下。 A 本發明之半導體積體電路裝置之製造方法含有在半導體 , 晶圓之第一區域及第二區域形成第一氧化膜後,除去形成 O:\99\99337.DOC -15- 1278932 於前述半導體晶圓之第一區域之前述第一氧化膜的製程和 在留在前述半導體晶圓之第一區域及第二區域之前述第一 絕緣膜上形成第二氧化膜的製程,以前述方法形成前述第 一及第二氧化膜之至少一方。 再將本發明之主要概要分成項顯示如下: 1β由以下製程構成之半導體積體電路裝置之製造方法·· (a) 在攝氏500度以下使用觸媒由氧和氫合成水分的製 • 程; (b) 在以下條件下··氣氛全體氣壓中所佔的所合成的上述 水分分壓比例為〇_5%到5%的範圍,在氫不支配的氧化性 虱氛中且將晶圓上的矽表面加熱到攝氏8〇〇度以上;在上 述矽表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧 化石夕膜的製程。 2·根據上述第1項之半導體積體電路裝置之製造方法,其 中上述氧化性氣鼠含有氧氣作為主要成分。 | 3·根據上述第1或2項之半導體積體電路裝置之製造方 法,其中使上述觸媒作用於氧和氫之混合氣體而進行 上述水分的合成。 4·=據上述第1至3項中任一項之半導體積體電路裝置之 製造方法,其中一面供應上述氧化性氣氛給上述晶圓 周邊,一面進行上述熱氧化。 ^ 5·由以下製程構成之半導體積體電路裝置之製造方法: ⑷在攝氏500度以下使用觸媒由氧和氫合成水分的製 ^ 程;
O:\99\99337.DOC -16- ^278932 (b)在以下條件下:氣氛全體氣壓中所佔的所合成的上述 ^ ^分壓比例為〇.5%到5%的範圍,在含有氧氣的氧化性 氣氛中且將曰曰圓上的矽表面加熱到攝氏度以上;在上 述矽表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧 化石夕膜的製程。 6·根據上述第5項之半導體積體電路裝置之製造方法,其 中使用熱壁爐進行上述熱氧化。 八 7·根據上述第5項之半導體積體電路裝置之製造方法,其 中使用燈加熱爐進行上述熱氧化。 8.根據上述第5至7項中任一項之半導體積體電路裝置之 製造方法,其中含有上述使其合成的水分的氣體以水 分之外的氣體稀釋後,供應作為上述氧化性氣氛。 9·根據上述第5至8項中任一項之上述半導體積體電路裝 置之製造方法更由以下製程構成: (0不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化丨生氣氛中,而在含有氧化氮的氣氛中施以表面處理的製 程。 〇·由以下製程構成之半導體積體電路裝置之製造方法: (a) 在攝氏500度以下使用觸媒生成水分的製程; (b) 在以下條件下··氣氛全體氣壓中所佔的所合成的上述 ^分分壓比例為〇·5%到5%的範圍,在含有氧氣的氧化性 氣氛中且將晶圓上的矽表面加熱到攝氏8〇〇度以上;在上 述矽表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧 化矽膜的製程。
O:\99\99337.DOC -17- 1278932 Π·根據上述第ι〇項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 12.根據上述第1〇或丨丨項之半導體積體電路裝置之製造方 法’其中一面供應上述氧化性氣氛給上述晶圓周邊, 一面進行上述熱氧化。 13·由以下製程構成之半導體積體電路裝置之製造方法: (a) 在攝氏500度以下使用觸媒由氧和氫合成水分的製 • 程; (b) —面供應氣氛全體氣壓中所佔的所合成的上述水分分 壓比例為0.5%到5%的範圍且含有氧氣的氧化性氣氛給將 矽表面加熱到攝氏800度以上的晶圓周邊,一面在上述矽 表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧化矽 膜的製程。 14·根據上述第13項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 • I5·根據上述第13或14項之半導體積體電路裝置之製造方 法,其中使上述觸媒作用於氧和氫之混合氣體而進行 上述水分的合成。 16.由以下製程構成之半導體積體電路裝置之製造方法: (a)在水分合成部在攝氏500度以下使用觸媒由氧和氫合 成水分的製程; , (b卜面通過設於水分合成部和氧化處理部之間的狹窄部 供應氣氛全體氣壓中所佔的所合成的上述水分分壓^ 0.5%到5%的犯圍且含有氧氣的氧化性氣氛給將石夕表面加
O:\99\99337.DOC •18- 1278932 熱到攝氏_度以上的晶圓周邊,一面在氧化處理部在上 述矽表©以熱氧化形成應纟為場效電晶體《問絕緣膜之氧 化矽膜的製程。 17. 根據上述第16項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 18. 根據上述第16或17項之半導體積體電路裝置之製造方 法,其中使上述觸媒作用於氧和氫之混合氣體而進行 • 上述水分的合成。 19·由以下製程構成之半導體積體電路裝置之製造方法: (a) 使用觸媒由氧和氫合成水分的製程; (b) 以水分之外的第二氣體稀釋含有所合成的上述水分的 第一氣體的製程; (c) 將所稀釋的上述第一氣體導入處理區域的製程; ⑷在上述處理區域,在所導人的上述第—氣體氣氛中在 晶圓上的矽表面以熱氧化形成應成為場效電晶體之閘絕緣 • 膜之氧化石夕膜的製程。 20·根據上述第19項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 21.根據上述第19或20項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 22·根據上述第19至21項中任一項之半導體積體電路裝置 、 之製造方法,其中一面供應上述氧化性氣氛給上述晶 圓周邊,一面進行上述熱氧化。 23.由以下製程構成之半導體積體電路裝置之製造方法:
O:\99\99337.DOC -19- Ϊ278.932 ⑷使水分合成觸媒仙於氧和氫之混合氣體 而生成含有 水分之第一氣體的製程; (b)以水分之外的第二氣體稀釋上述第一氣體的製程; (C)將所稀釋的上述第一氣體導入處理區域的製程; (d)在上述處理區域,在所導入的上述第一氣體氣氛中在 曰曰圓上的矽表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化矽膜的製程。 | 24·根據上述第23項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 25·根據上述第23或24項之半導體積體電路裝置之製造方 法,其中在攝氏8〇〇度以上進行上述熱氧化。 26·根據上述第23至25項中任一項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶 圓周邊,一面進行上述熱氧化。 27·由以下製程構成之半導體積體電路裝置之製造方法: _ U)使觸媒作用而生成含有水分之第—氣體的製程; ()、X刀之外的弟一氣體稀釋上述第一氣體的製程; (c)將所稀釋的上述第一氣體導入處理區域的製程; (句在上述處理區域,在所導入的上述第一氣體氣氛中在 曰曰圓上的矽表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化矽膜的製程。 、 28·根據上述第27項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 29·根據上述第27或28項之半導體積體電路裝置之製造方
O:\99\99337.DOC -20 - 1278932 法,其中在攝氏800度以上進行上述熱氧化。 3〇.根據上述第27至29項中任一項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶 圓周邊,一面進行上述熱氧化。 31·由以下製程構成之半導體積體電路裝置之製造方法: U)使水分合成觸媒作用於氧和氫之混合氣體而生成含有 水分之第一氣體的製程; ⑻用以^為主要成>的第^體稀釋上述第-氣體的製 程; (C)將所稀釋的上述第一氣體導入處理區域的製程; 曰⑷在上述處理區域’在所導人的上述第—氣體氣氛中在 晶圓上的石夕表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化矽膜的製程。 32·根據上述第31項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 33.根據上述第31或32項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 34·根,上述第31至33項中任-項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶 圓周邊,一面進行上述熱氧化。 5·由以下製程構成之半導體積體電路裝置之製造方法: ⑷為洗滌表面或除去表面膜而在晶圓上的石夕表面施以表 面處理的製程; (b)上述製程後,不將上述晶圓實際上暴露於氧化性氣氛
O:\99\99337.DOC -21 - 1278932 中’而轉移到氧化處理部的製程; (c) 使用觸媒由氧和氩合成水分的製程; (d) 在含有所合成的上述水分的氣氛中,在上述矽表面以 熱氧化形成氧化矽膜的製程。 3 6·根據上述第35項之半導體積體電路裝置之製造方法, 其中上述氧化矽膜應成為MOS電晶體之閘極。 37.根據上述第36項之上述半導體積體電路裝置之製造方 •法更由以下製程構成: (e) 不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中,而在含有氧化氮的氣氛中施以表面處理的製 程。 38·根據上述第37項之上述半導體積體電路裝置之製造方 法更由以下製程構成: (f) 不將施以上述表面處理的上述晶圓暴露於外氣或其他 氧化性氣氛中,而以氣相沉積形成應成為閘極的電極材料 鲁的製程。 39·根據上述第36項之上述半導體積體電路裝置之製造方 法更由以下製程構成·· (0不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中’而以氣相沉積形成應成為閘極的電極材料的 製程。 40·根據上述第35至39項中任一項之半導體積體電路裝置 之製造方法’其中以燈加熱進行上述氧化製程。 41·由以下製程構成之半導體積體電路裝置之製造方法: O:\99\99337.DOC -22- 1278932 ⑷為洗衫面或除去表面膜而在晶圓上的石夕纟面施以表 面處理的製程; ()述製祆後,不將上述晶圓實際上暴露於氧化性氣氛 中,而轉移到氧化處理部的製程; (C)使用觸媒生成水分的製程; ()在3有所合成的上述水分的氣氛中,在上述石夕表面以 熱氧化形成氧化矽膜的製程。 • 42·根據上述第41項之半導體積體電路裝置之製造方法, 其中上述氧化矽膜應成為M〇s電晶體之閘極。 43·根據上述第42項之上述半導體積體電路裝置之製造方 法更由以下製程構成·· (e) 不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化丨生氣氛中’而在含有氧化氮的氣氛中施以表面處理的製 程。 44·根據上述第43項之上述半導體積體電路裝置之製造方 ® 法更由以下製程構成: (0不將施以上述表面處理的上述晶圓暴露於外氣或其他 氧化性氣氛中’而以氣相沉積形成應成為閘極的電極材料 的製程。 45.根據上述第42項之上述半導體積體電路裝置之製造方 法更由以下製程構成·· (f) 不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中’而以氣相沉積形成應成為閘極的電極材料的 • 製程。
O:\99\99337.DOC -23- 1278932 46·根據上述第41至45項中任一項之半導體積體電路裝置 之製造方法,其中以燈加熱進行上述氧化製程。 47·由以下製程構成之半導體積體電路裝置之製造方法: 0)使用觸媒由氧和氫合成水分的製程; (b)在含有所合成的上述水分的氣氛中,在晶圓上的矽表 面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧化矽膜 的製程; (0上述製程後,對於不使其接觸外氣而形成上述氧化矽 膜的上述晶圓,在含有氧化氮的氣體氣氛中施以表面處理 的製程。 48·根據七述第47項之半導體積體電路裝置之製造方法, 其中上述氧化矽膜應成為MOS電晶體之閘極。 49·根據上述第48項之上述半導體積體電路裝置之製造方 法更由以下製程構成: 將形成上4氧化膜的上*晶圓I露於外氣或其他氧 化f生氣氛中,而在含有氧化氮的氣氛中施以表面處理的製 程。 50. 根據上述第49項之上述半導體積體電路裝置之製造方 法更由以下製程構成: "⑴不將W Μ上述表面處理的上述晶圓暴露於外氣或其他 ^ 2 ^虱巩中,而以氣相沉積形成應成為閘極的電極材料
51. 根據上述第+ 丄I 8項之上述半導體積體電路裝置之製造 法更由以下製程構成··
O:\99\99337.DOC -24- 1278932 (0不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中,而以氣相沉積形成應成為閘極的電極材料的 製程。 仏根據上述第47至51項_任—項之半導體積體電路裝置 之製造方法,其中以燈加熱進行上述氧化製程。 53.由以下製程構成之半導體積體電路裝置之製造方法: (Θ在晶圓上的矽表面形成元件分離槽的製程; (b)在上述^件分離槽内形成來自外部的絕緣膜的盤 程; ^ (C)使上述矽表面平坦化而露出應形成上述矽表面之 熱氧化膜之部分的製程; 以觸媒合成水分,在含有此水分的氣氛中,在上 …斤路出之刀形成應成為場效電晶體之閘絕緣膜之熱氧 化膜的製程。 、”” 54·根據上述第53項之半導體積體電路裝置之製造方法, 其中以化學機械方法進行上述平坦化。 55·根據上述第53或54項之半導體積體電路裝置之製造方 法’其中以化學機械研磨進行上述平坦化。 56.根據上述第53至55項中任一項之半導體積體電路裝置 之製造方法,其中以CVD(化學氣相沉積)形成上述來 自外部的絕緣膜。 57·由以下製程構成之半導體積體電路裝置之製造方法: (a) 在晶圓上的矽表面形成元件分離槽的製程; (b) 在上述元件分離槽内以沉積形成絕緣膜的製程;
0:\99\99337.D0C -25- 1278932 (C) 以觸媒合成水分,在含有此水分的氣氛中,在為 上述7L件分離槽所包圍之矽表面形成應成為場效電晶體之 閑絕緣膜之熱氧化膜的製程。 58·根據上述第57項之上述半導體積體電路裝置之製造方 法更由以下製程構成: (d)上述製程(b)後,使上述石夕表面平坦化而露出應形成 上述矽表面之熱氧化膜之部分的製程。 59·根據上述第57項或58項之半導體積體電路裝置之製造 方去,其中以化學機械方法進行上述平坦化。 6〇’根據上述第57至59項中任一項之半導體積體電路裝置 之製造方法,其中以化學機械研磨進行上述平坦化。 61·根據上述第57至60項中任一項之半導體積體電路裝置 之製造方法,其中以CVD(化學氣相沉積)形成上述來 自外部的絕緣膜。 62·由以下製程構成之半導體積體電路裝置之製造方法: U)在氣氛全體氣壓中所佔的水分分壓比例為0_5。/〇到 5%範圍的氧化性氣氛中,藉由以燈加熱晶圓上的矽表 面,在上述矽表面以熱氧化形成應成為場效電晶體之閘絕 緣膜之氧化矽膜的製程。 63·根據上述第62項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 64.由以下製程構成之半導體積體電路裝置之製造方法: ⑷使觸媒作用於氧和氫之混合氣體而生成含有水分之第 一氣體的製程;
O:\99\99337.DOC -26 - 1.278.932 (b) 以水分之外的第二氣體稀釋上述第—氣體的製程; (c) 將所稀釋的上述第一氣體導入處理區域的製程; 曰⑷在上述處理區域,在所導人的上述第_氣體氣氛令在 晶圓上的矽表面以燈加熱之熱氧化形成應成為場效電晶體 之閘絕緣膜之氧化石夕膜的製程。 65. 由以下製程構成之半導體積體電路裝置之製造方法: 預熱到水分不結露的程度,將無處理晶圓導入實 際上保持於非氧化性氣氛的氧化處理部的製程; (b)在上述氧化處理部,在氣氛全體氣壓中所佔的水 分分壓比例為0.1%以上範圍的氧化性氣氛下,#由以燈加 熱所導人的上述晶圓上㈣表面,在上述⑪表面以熱氧化 形成應成為場效電晶體之閘絕緣膜之氧化矽膜的製程。 66. 根據上述第65項之半導體積體電路裝置之製造方法, 〃中上述非氧化性氣氛係以氮氣為主並添加少量氧 氣。 67. 根據上述第65或66項之半導體積體電路裝置之製造方 法’其中上述預熱溫度為攝氏度以上5⑼度以下。 68·根據上述第65至67項中任一項之半導體積體電路裝置 之製k方法,其中上述氧化處理時的上述晶圓表面溫 度為攝氏700度以上。 69·根據上述第65至68項中任一項之上述半導體積體電路 裝置之製造方法,其中將上述非氧化性氣氛預熱到水 分不結露的程度後,導入上述氧化處理部。 70·根據上述第65至69項中任一項之上述半導體積體電路
O:\99\99337.DOC -27- 1278932 農置之製造方法’其中將上述晶圓預熱到水分不結露 的程度後,導入上述氧化處理部。 71.由以下製程構成之半導體積體電路裝置之製造方法: (a)在以下條件下:氣氛全體氣壓中所佔的水分分壓 比例為〇·5到5%的範圍,在含有氧氣的氧化性氣氛中且將 晶圓上的矽表面加熱到攝氏8〇〇度以上;在上述矽表面以 熱氧化形成應成為場效電晶體之閘絕緣膜之具有5 nm以下 厚度之氧化石夕膜的製程。 72·根據上述第71項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 3·根據上述第71或72項之半導體積體電路裝置之製造方 法,其中一面供應上述氧化性氣氛給上述晶圓周邊, 一面進行上述熱氧化。 74.由以下製程構成之半導體積體電路裝置之製造方法: (a)氣氛王體氣壓中所佔的水分分壓比例為〇 5%到5%的 靶圍,在含有氧氣的氧化性氣氛中,纟晶圓上的矽表面以 熱氧化形成應成為快閃記憶體之隧道絕緣膜之氧化矽膜的 製程。 75·根據上述第74項之半導體積體電路裝置之製造方法, 其中上述氧化性氣氛含有氧氣作為主要成分。 76·根據上述第74或75項之半導體積體電路裝置之製造方 法八中一面供應上述氧化性氣氛給上述晶圓周邊, 一面進行上述熱氧化。 77.由以下製程構成之半導體積體電路裝置之製造方法:
O:\99\99337.DOC -28- 1278932 (a) 以觸媒使水分生成的製程; ⑻-面供應、含有以觸媒i成的纟分的m體給第 一氧化處理部,一面在前述第一氧化處理部,在晶圓上的 第一石夕表面區域形成第一熱氧化膜的製程; ⑷上述製程⑷之前或上述製程(b)之後,藉由使氧和 氫燃燒而使水生成的製程; ⑷-面供應含有以燃燒生成的水分的氣氛氣體給第 一或第二氧化處理部,一面在前述第二氧化處理部,在上 述晶圓上的第二矽表面區域形成第二熱氧化膜的製程。 78.由以下製程構成之半導體積體電路裝置之製造方法: (a)在氣氛全體氣壓中所佔的水分分壓比例為〇 5%到 5%範圍的氧化性氣氛下,在保持成晶圓主表面實際上成 為水平的狀態,在前述晶圓±的上述主表面上的石夕表面以 熱氧化形成應成為MOS電晶體之閘絕緣膜之氧化矽膜的製 程。 79·由以下製程構成之半導體積體電路裝置之製造方法: (a) 在不發生***的溫度條件下,由比與水對應之化學計 量比富氧的氧和氫之非化學計量的混合氣體使用觸媒合成 水分的製程; (b) 在含有所合成的上述水分的氧化性氣氛中,在晶圓上 的矽表面以熱氧化形成氧化矽膜的製程。 80.由以下製程構成之半導體積體電路裝置之製造方法: (a)將被處理晶圓導入氧化處理部的製程,該氧化處 理部係保持於含有實際上氧化不進行程度的少量氧的非氧
O:\99\99337.DOC -29- 1278^932 化性氣氛的攝氏700度以上的高溫· ⑻ 程; 在攝氏500度以下使用觸媒由 氧和氫合成水分的製
顯示如下·· 方法’其特徵在於: (c)在上述氧化處理部,在以下 ^ ^ ^ 下條件下··在氣氛全體 氣遷中所佔的所合成的上述水分 ^ 刀壓比例為0.5%到5%的 氧化性氣氛中且將晶圓上的石夕表面加熱到攝氏度以 上;在上述石夕表面以熱氧化形成應成為場效電晶體之閑絕 緣膜之氧化矽膜的製程。 (本案發明之其他概要等) 餘將以上及其他本案發明概要分項 A·—種半導體積體電路裝置之製造 含有以下製程(a)、(b): (a) 由氫和氧以觸媒作用生成水的製程、 (b) 供應低濃度含有前述水之氧給加熱到預定溫度之半導 體晶圓主面或其附近,以可確保至少形成氧化膜:現性及 氧化膜厚均勾性程度的氧化膜成長速度在前述半導體晶圓 主面形成膜厚5 nm以下的氧化膜的製程者。 B·根據上述A項之半導體積體電路裝置之製造方法,其 中前述氧化膜為MOSFET之閘氧化膜。 ’、 C·根據上述A項之半導體積體電路裝置之製造方法,其 中前述氧化膜膜厚為3 nm以下。 D·根據上述A項之半導體積體電路裝置之製造方法,其 中前述半導體晶圓加熱溫度為8〇〇到900°C。 E·根據上述A項之半導體積體電路裝置之製造方法,其 O:\99\99337.DOC -30- 1278932 中刖述(b)l程後’藉由在前述半導體日日日圓主面施以氧化氣 處理,使氮與前述氧化膜和基板的界面分離。 F·根據上述A項之半導體積體電路裝置之製造方法,其 中以單片處理進行前述氧化臈的形成。 G. 根據上述A項之半導體積體電路裝置之製造方法,其 中以整批整理進行前述氧化膜的形成。 H. —種半導體積體電路裝置之製造方法,其特徵在於: | 含有以下製程·· (a) 由氫和氧以觸媒作用生成水的製程、 (b) 藉由供應氧給加熱到預定溫度的半導體晶圓主面或其 附近,該氧係比在不含至少水的乾燥氧氣&中所形成的氧 化膜可得則憂良初#月耐壓的濃度的含有前述水之氧,在前 述半導體晶圓主面形成膜厚5 nm以下的氧化膜的製程者。 I·根據上述Η項之半導體積體電路裝置之製造方法,其 中前述水之濃度為40%以下。 | J·根據上述Η項之半導體積體電路裝置之製造方法,其 中前述水之濃度為0.5到5%。 Κ·根據上述Η項之半導體積體電路襞置之製造方法,其 中前述氧化膜膜厚為3 nm以下。 L.一種半導體積體電路裝置之製造方法’其特徵在於: 含有以下製程(a)到(c); ⑷將主面形成第-氧化膜的半導體晶圓搬到洗務部,以 濕式洗滌除去前述第一氧化膜的製程、 (b)不使前述半導體晶圓接觸A t ’而從前述洗條部搬到 O:\99\99337.DOC -31 - I27S932 惰性氣體氣氛之氧化處理部的製程、 (c)供應低濃度含有因觸媒作用而由氫和氧生成之水之氧 給加熱到預定溫度的前述半導體晶圓主面或其附近,以可 確保至少形成氧化膜再現性及氧化膜厚均勻性程度的氧化 膜成長速度在前述半導體晶圓主面形成膜厚5 nm以下的第 一氧化膜的製程者。 M·根據上述L項之半導體積體電路裝置之製造方法,其 中前述氧化膜膜厚為3 nm以下。 N·根據上述L項之半導體積體電路裝置之製造方法,其 中前述第二氧化膜在其一部分含有自然氧化膜和初期氧化 膜’該自然氧化膜係在除去前述第一氧化膜之後到形成前 述第二氧化膜之間,不希望形成於前述半導體晶圓表面, 該初期氧化膜係因和前述氧的接觸而不希望形成於前述半 導體晶圓表面,前述自然氧化膜和前述初期氧化膜之合計 膜厚為前述第二氧化膜全體膜厚之二分之一以下。 〇·根據上述L項之半導體積體電路裝置之製造方法,其 中前述自然氧化膜和前述初期氧化膜之合計膜厚為前述第 一氧化膜全體膜厚之三分之一以下。 p. —種半導體積體電路裝置之製造方法,其特徵在於: 含有在半導體晶圓之第一區域及第二區域形成第一氧化膜 後’除去形成於前述半導體晶圓之第一區域之前述第一氧 化膜的製程和在留在前述半導體晶圓之第一區域及第二區 域之如述第一絕緣膜上形成第二氧化膜的製程,以含有上 述第1項所載之製程(a)、(b)的方法形成前述第一及第二氧
O:\99\99337.DOC -32- 1278*932 % 化膜之至少一方者。 【實施方式】 以下,根據圖面詳細說明本發明之實施形態。又,在說 明貫轭形怨的全圖中,在具有同一功能的構件附上同一符 號,省略其重複說明。 此外,為了說明方便,將分成幾個實施例或項目加以說 明’當然這些各實施例或項目並不是各個鬆散的,而是互 • 相具有一部分其他變形例、一部分製程細部、用於一部分 製权的裝置等關係。即,在一連串實施例說明的各個裝置 或單位製程等大致照樣可適用於其他實施例時,不逐一重 複。此外,相反地,獨立說明的各個裝置或單位製程等大 致照樣可適用於其他實施例時,不逐一重複。 (半導體製程A) 兹用圖1到圖26 (主要是圖1到8、10、16及22到26)說明 本實施形態之CMOSFET (互補式金屬氧化物半導體場效電 • 晶體)製造方法。 首先’如圖1所示,熱處理電阻率10 Qcm程度的由單晶 石夕構成的半導體基板1而在其主面形成膜厚1〇 ^瓜程度的薄 氧化石夕膜2 (熱氧化製程A1)後,在此氧化矽膜2上以CVD法 沉積膜厚100 度的氮化矽膜3。其次,如圖2所示,在 氮化石夕膜3上形成將元件分離區域開孔的光阻劑4,以此光 阻劑4為罩幕而將氮化矽膜3形成圖案。 其次’除去光阻劑4後,如圖3所示,以氮化矽膜3為罩 • 幕’依次餘刻氧化矽膜2和半導體基板1,在半導體基板1
O:\99\99337.DOC -33- 1278932 形成深度350 nm程度之槽5a,接著施以900到1150°C的熱 氧化處理,在槽5a内壁形成氧化矽膜6 (熱氧化製程A2)。 其次,如圖4所示,以例如將臭氧(〇3)和四乙氧基矽烷 ((C^HzHsOhSi)用於源氣的CVD法在半導體基板1上沉積膜 厚800 nm程度的氧化矽膜7後,如圖5所示,以化學機械研 磨(Chemical Mechanical Polishing; CMP)法研磨氧化石夕膜 7 ’藉由將氮化矽膜3用於研磨阻止物而只在槽5a内部留下 p 氧化矽膜7,形成元件分離槽5。接著,施以約1 〇〇〇的熱 處理而元件分離槽5内部之氧化石夕膜7密實。 其次,以使用熱磷酸的濕式蝕刻除去氮化矽膜3後,如 圖6所示,以將p通道型M0SFETb成區域(圖左側)開孔的 光阻劑8為罩幕’在半導體基板1離子植入形成η型井的雜 質,並且離子植入調整ρ通道型MOSFET之臨界電壓的雜 質。形成η型井用的雜質例如使用Ρ (磷),以能量勺的 keV、劑量=1.5xl〇n/cm2離子植入。此外,調整臨界電壓 • 用的雜貝例如使用ρ ’以能量=40 keV、劑量=2xl〇i2/cm2離 子植入。 其次,除去光阻劑8後,如圖7所示,以將η通道型 MOSFET形成區域(圖右側)開孔的光阻劑9為罩幕,在半導 體基板1離子植入形成ρ型井的雜質,並且離子植入調整η 通道型MOSFET之臨界電壓的雜質。形成?型井用的雜質例 如使用B (硼),以能量=2〇〇 keV、劑量=l.〇xi〇i3/cm2離子 植入。此外,調整臨界電壓用的雜質例如使用氟化蝴 (BF2) ’以能量=40 keV、劑量=2xl012/cm2離子植入。 O:\99\99337.DOC -34- 1278932 其次,除去光阻劑9後,如圖8所示,藉由950°C、1分程 度熱處理半導體基板1而延長擴散上述η型雜質及p型雜 質,在Ρ通道型MOSFET形成區域之半導體基板1形成η型井 10,在其表面附近形成ρ型通道區域12。此外,同時在η通 道型MOSFET形成區域之半導體基板1形成ρ型井11,在其 表面附近形成η型通道區域13。 其次’在上述η型井10和ρ型井11之各表面用以下方法形 成閘氧化膜(熱氧化製程A3)。 圖9為用於形成閘氧化膜之單片式氧化膜形成裝置的概 略圖。如圖示,此氧化膜形成裝置100連接於洗滌裝置1〇 i 後段’該洗滌裝置101係在形成閘氧化膜之前,以濕式洗 務方式除去半導體晶圓1A表面之氧化膜。藉由採用這種洗 /條氧化一貫處理系統,可不使在洗務裝置1 〇 1内交付洗 務處理的半導體晶圓1A接觸大氣且在短時間搬到氧化膜形 成裝置100,所以在除去氧化膜之後到形成閘氧化膜之 間’可盡量抑制在半導體晶圓1A表面形成自然氧化膜。 裝入洗滌裝置101之裝載器102的半導體晶圓1A*搬到洗 滌室103,交付例如犯14〇11+112〇2+112〇等洗滌液的洗滌 處理後,搬到氫氟酸洗滌室104,交付稀氫氟酸(HF+ 的洗滌處理而除去表面的氧化矽膜(圖10)。其後,半導體 2圓1A搬到乾燥室1〇5,交付乾燥處理,除去表面的水 刀殘留於半導體晶圓1A表面的水分會成為在閘氧化膜中 $閘氧化膜/矽界面引起si_H、Si_〇H等構造缺陷而形成電 何陷阱的原因,所以需要充分除去。
O:\99\99337.DOC -35- 1278932 乾燥處理結束的半導體晶圓1A通通緩衝區l〇6,立即搬 到氧化膜形成裝置1〇〇。 此氧化膜形成裝置100以多室方式構成,該多室方式例 如具備氧化膜形成室107、氧化氮膜形成室108、冷卻台 i〇9、裝卸器no等,裝置中央的搬運系統112具備將半導 體晶圓1A搬入、搬出上述各處理室的機器手113。搬運系 統112内部為了盡量抑制因大氣混入而在半導體晶圓1 a表 面形成自然氧化膜,保持於氮等惰性氣體氣氛。此外,搬 運系統112内部為了盡量抑制水分附著於半導體晶圓1 a表 面’保持於ppb (十億分之一)水準的超低水分氣氛。搬入 氧化膜形成裝置100的半導體晶圓1A透過機器手113,先以 1片或2片單位搬到氧化膜形成室i 07。 圖11(a)為顯示氧化膜形成室1〇7具體結構一例的概略平 面圖,圖11(b)為沿圖ll(a)之B-B,線的截面圖。 此氧化膜形成室107具備以多重壁石英管構成之室丨2〇, 在其上部及下部設置加熱半導體晶圓1A的加熱器121a、 121 b。至12 0内部收容圓盤狀均熱環12 2,該圓盤狀均熱環 122係使由此加熱器121 a、121 b供應之熱均勻分散到半導 體晶圓1A全面,在其上部裝載水平保持半導體晶圓ία的 基座123。均熱環122以石英或SiC (碳化石夕)等耐熱材料構 成,為由室120壁面延伸的支持臂124所支持。均熱環122 附近設置熱電偶125,該熱電偶125係測量保持於基座123 的半V體晶圓1A溫度。半導體晶圓ία的加熱除了加熱5| 121a、121b的加熱方式之外,也可以採用例如圖12所示之 O:\99\99337.DOC -36- 1.278.932 職 • 類的燈130加熱方式。 室120壁面一部分連接將水、氧及淨化氣體導入室12〇内 的氣體導入管126—端。此氣體導入管126他端連接於後述 的觸媒方式水分生成裝置。氣體導入管126附近設置具備 多數貫通孔127的隔壁128,導入室12〇内的氣體通過此隔 壁128之貫通孔127而均勻遍及室12〇内。室12〇壁面另外一 部分連接排出導入室120内的上述氣體的排氣管129—端。 φ 圖13及圖14為顯示連接於上述室120之觸媒方式水分生 成裝置的概略圖。此水分生成裝置14〇具備以耐熱耐蝕性 5金(例如以商品名「哈斯特洛伊(HasteU〇y)」而聞名的鎳 合金等)構成的反應器141,在其内部收容由pt (鉑)、Ni (鎳)或Pd (把)等觸媒金屬構成的線圈142和加熱此線圈142 的加熱器143。 由氫及氧構成的製程氣體和由氮或Ar (氬)等惰性氣體構 成的淨化氣體從儲氣槽144a、144b、144c通過配管145導 • 入上述反應器141。配管145中途設置調節氣體量的質流控 制器(Mass Flow Controller) 146a、146b、146c和開關氣體 流路的開關閥147a、147b、147c,以這些精密控制導入反 應器141内的氣體量及成分比。 導入反應器141内的製程氣體(氫及氧)接觸加熱到350到 450°C程度的線圈142而被激發,從氫分子生成氫基(H2—2 Η)’攸氧分子生成氧基(〇2—>2 0)。這些2種基化學上極 為活性,所以迅速反應而生成水(2 Η* + 〇*— Η20)。此水 脅 在連接部148内和氧混合而被稀釋成低濃度,通過前述氣 O:\99\99337.DOC •37- 1278932 • ^導入管126而導入氧化膜形成室1〇7之室i2〇。 如上述的觸媒方式水分生成裝置140可高精度控制參與 水生成之氫和氧量,所以可從ppt (萬億分之一)以下的超 低淡度到幾十。/〇程度的高濃度廣A範圍1高精纟地控制和 氧共同導入氧化膜形成室1〇7之室12〇的水濃度。此外,由 於將製程氣體導入反應器141就瞬間生成水,所以可以即 日守(real-time)得到所希望的水分濃度。因此,可將氫和氧 • 同時導入反應器141内,無需如同採用燃燒方式的習知水 分生成系統一樣,在導入氫之前導入氧。又,反應器14i 内的觸媒金屬若為可使氫或氧基團化的,則也可以使用前 述金屬以外的材料。此外,觸媒金屬除了加工成線圈狀使 用之外’也可以例如加工成中空管或細的纖維過濾器等而 在其内部通過製程氣體。 茲一面參照圖15,一面說明使用上述氧化膜形成裝置 100的形成閘氧化膜順序一例。 • 首先,開放氧化膜形成室107之室12〇,一面將淨化氣體 (氮)導入其内部,一面將半導體晶圓1A裝在基座123上。 將半導體晶圓1A搬入室120之後到裝在基座123上的時間為 55秒。其後,封閉室120,接著導入淨化氣體3〇秒,充分 進行室120内的氣體交換。基座123先以加熱器121a、121b 加熱,以便迅速加熱半導體晶圓1A。半導體晶圓1A的加 熱溫度定為800到900°C的範圍内,例如850°C。晶圓溫度
^ 在800°C以下,則閘氧化膜品質降低。另一方面,在900°C • 以上,則容易發生晶圓的表面龜裂。 O:\99\99337.DOC -38- 1278932 其次,導入氧和氫15秒到水分生成裝置14〇之反應器 141,藉由將生成的水和氧共同導入室12〇内而使半導體晶 圓1A表面氧化5分鐘,形成膜厚5 nm以下,例如4 nm的閘 氧t化膜14 (圖16)。 將氧和氫導入反應器141之際,不要比氧先導入氫。比 氧先導入氫,未反應的氫就流入高溫的室丨内,很危 險。另一方面,比氫先導入氧,此氧就流入室12〇内,在 • 等待中的半導體晶圓1A表面形成低品質的氧化膜(初期氧 化膜)。因此,氫和氧同時導入或考慮作業安全性而以比 氧稍晚的定時(0到5秒以内)導入.如此一來’就可將不希 望形成於半導體晶圓1A表面的初期氧化膜膜厚抑制在最小 限度。 圖17為顯示水分濃度對於氧化膜成長速度之相關性的圖 表,橫軸顯示氧化時間,縱軸顯示氧化膜厚。如圖示,氧 化膜成長速度於水分濃度為〇 (乾氧化)時最慢,隨著水分 籲冑度變高而變快。因此,為了再現性良好且以均勻膜厚形 成膜厚5 nm程度或此以下的極薄閘氧化膜,降低水分濃度 且延遲氧化膜成長速度,以穩定的氧化條件進行成膜有 效。 圖18為顯示水分濃度對於以半導體基板、閘氧化膜及閘 極構成之MOS二極體之氧化膜初期耐壓之相關性的圖表, , 秩軸顯示施加於M〇s二極體一方電極(閘極)的電壓,縱軸 ♦ 顯示閘氧化膜中的缺陷密度。此處,為了使水分濃度的影 響表面化’使用了 MOS二極體,該MOS二極體係以(!)氧 O:\99\99337.DOC -39- 1278932 化溫度=850 C、水分濃度=〇、(2)氧化溫度=850°C、水分 濃度=0.8%、(3)使用立式擴散爐、氧化溫度=8〇(rc、水分 濃度=40%的條件形成膜厚=9 nm、面積=〇· 19 cm2之閘氧化 膜。如圖示’以水分濃度=〇·8%的低水分條件形成的閘氧 化膜比以水分濃度=〇 (乾氧化)形成的閘氧化膜及以水分濃 度=40%的高水分條件形成的閘氧化膜之任何一方都顯示 良好的初期耐壓。 • 圖19為顯示使恆定電流(Is)流到上述MOS二極體之電極 間時水分濃度對於電壓變化量之相關性的圖表。如圖示, 使用以水分濃度=〇 (乾氧化)形成的閘氧化膜的M〇s二極體 因起因於氧化膜中的缺陷密度高而電壓變化量大。 圖20顯示使用上述氧化膜形成裝置1〇〇而形成的閘氧化 膜之晶圓面内之膜厚分佈。此處,就將晶圓溫度設定於 850°C、以水分濃度=〇·8%氧化2分3〇秒的情況加以顯示。 如圖不,膜厚最大值=2_881 nm、最小值=2.814 nm,得到 _ 膜厚偏差±1.18%此一良好的面内均勻性。 由以上得到下結構··導入氧化膜形成室1〇7之室12〇之水 的較佳濃度(水/水+氧)若是以比以乾氧化(水分濃度=〇)形 成時可得到優良初期耐壓的濃度為下限,到採用習知燃燒 方式時為上限的40%程度的範圍内即可,特別是要以均勻 膜厚再現性良好且可得到高品質搬地形成膜厚5 nm程度或 此乂下的極薄閘氧化膜,將水的濃度定為〇·5%到5%的範 ' 圍内較佳。 圖21顯示以熱氧化得到的閘氧化膜成分明細,圖之右側
O:\99\99337.DOC -40- 1278932 圖表為以上述本實施形態方法形成的膜厚4 nm閘氧化膜, 中央圖表為以利用燃燒方式的習知方法形成的膜厚4 nm閘 氧化膜,左側圖表為以相同習知方法形成的膜厚9 nm閘氧 化膜。 如圖示,本實施形態採用洗滌一氧化一貫處理系統,盡
量避免從預洗滌到形成氧化膜之間和氣氛中的氧接觸的結 果,可從習知方法的0.7 nm (總膜厚的17·5%)到〇.3 nm (總 膜厚的7.5%)弄薄在形成在氧化膜形成裝置内的可控制氧 化膜之前所形成的此自然氧化膜膜厚。此外,採用觸媒之 水分生成方式,謀求氧化種立即導入氧化膜形成裝置内的 結果’在形成作為目的的本來氧化膜之前,可從習知方法 的0.8 nm (總臈厚的20%)到0.3 nm (總膜厚的7.5%)弄薄因 和氧化種中的氧接觸而不希望形成的初期氧化膜膜厚。此 結果,可形成作為目的的本來可控制氧化膜膜厚85%的, 品質極閘化膜。再者,如前所述,謀求氧化種的水分濃j 最佳化,降低氧化膜成長速度且以敎的氧化條件進行7 膜:結果’可以均勾膜厚再現性良好地形成高品質的極丨 閘氣化膜。 —間早祝明形成上述閘氧化膜以後的CM〇s製程。 如前述圖14所示,形成閘氧化媒14完畢後,先導入王 =°ΓΓ膜形成室107之室120,排出留在室12〇 乳化種。接著,從基座123以55秒卸下 1Α ,從室12〇搬出。 體日日圓 其次,將半導體晶訊搬到前述圖9所示的氧化氮膜形
O:\99\99337.DOC •41 - 1278*932 成室⑽’藉由在NO (氧化氮)或_ ( 一氧化二氮)氣氛中 熱處理半導體晶圓1A,使氮與㈤氧化膜14和半導體基板工 的界面分離。 士閘氧化膜14薄到5 nm程度,起因於和半導體基板1的熱 膨脹係數差而在兩者界面產生的變形就表面化,引起熱載 子的發生。由於與和半導體基板丨的界面分離之氮會緩和 此變化,所以上述氧化氮處理可提高極薄閘氧化膜“的可 彝ί± :又,使用ΝΑ進行氧化氮處理時,因分解而產 生之氧的氧化也進行,所以閘氧化膜14膜厚變厚丨程 度。這種情況,藉由在氧化膜形成室107形成膜厚3 nm的 閘氧化膜後進行氧化氮處理,可將閘氧化膜厚設定成4 nm。另一方面’使用N〇時,幾乎沒有因氧化氮處理而閘 氧化膜變厚的情況。 其次,將氧化氮處理完畢的半導體晶圓以在冷卻台1〇9 冷卻到室溫之後,通過裝卸器11〇而搬出氧化膜形成裝置 1〇〇外部,搬到沉積閘極用導電膜的CVD裝置(未圖示卜當 時,將此CVD裝置連接於氧化膜形成裝置1〇〇後段,藉由 連續一貫處理從形成閘氧化膜到沉積閘極用導電膜,可有 效防止閘氧化膜14的污染。 其次,如圖22所示,在閘氧化膜14上部形成閘長〇25 μηι的閘極15。閘極15係在半導體基板丨上以CVD法依次沉 積膜厚150 nm的η型多晶矽膜、膜厚15〇 nm的非摻雜多晶 矽膜後,以將光阻劑作為罩幕的乾式蝕刻將這些膜形成圖 案而形成。
O:\99\99337.DOC -42- 1278932 參 其次,如圖23所示,在p通道型M0SFET形成區域從垂 直方向及斜方向離子植入p型雜質,例如B (硼),在閘極Μ 兩側之η型井1〇形成p-型半導區域16及?型半導體區域17。 此外’在η通道型MOSFET形成區域從垂直方向及斜方向離 子植入η型雜質,例如Ρ (磷),在閘極14兩側之ρ型井 成η-型半導體區域18及n型半導體區域19。 其次,如圖24所示,非等向性蝕刻在半導體基板i上以 | CVD法沉積的氧化矽膜而在閘極14側壁形成厚度〇 i5 程度的側壁間隙壁20。此時,除去p型半導體區域17上部 的閘氧化膜14及η型半導體區域19上部的閘氧化膜14。接 著’在ρ通道型MOSFET形成區域離子植入ρ型雜質,例如 B (硼),在閘極14兩侧之n型井10形成p+型半導體區域21。 此外’在η通道型MOSFET形成區域離子植入η型雜質,例 如Ρ (磷)’在閘極14兩側之ρ型井π形成η+型半導體區域 22 〇 > 其次,如圖25所示,在ρ通道型MOSFET之閘極14、p+型 半導體區域21(源極區域、汲極區域)、η通道型MOSFET之 閘極14、n+型半導體區域22 (源極區域、汲極區域)之各表 面形成TiSi2 (矽化鈦)層23。TiSh層23係熱處理在半導體基 板1上以濺鍍(sputtering)法沉積的鈦膜而使其和半導體基 板1及閘極14反應後,以蝕刻除去未反應的鈦膜而形成。 藉由以上製程’ p通道型MOSFET (Qp)及η通道型MISFET (Qn)完成。 ’ 其後,如圖26所示,在氧化矽膜24形成連接孔25到28, O:\99\99337.DOC -43- 1278.932 該氧化矽膜24係在半導體基板1上以電漿CVD法沉積,接 著藉由將在氧化矽膜24上以濺鍍法沉積的鋁合金膜形成圖 案而形成配線29到3 1,本實施形態之CMOS製程大致完 畢〇 (半導體製程B) 茲用圖27到圖32說明本實施形態之MOSFET製造方法 (LOCOS隔離製程)。本製程使用習知型之隔離取代淺渠溝 隔離(Shallow Trench Isolation)。這種情況,關於細微化雖 然有限,但有可照樣引用以往的製程的優點。半導體製程 1之STI或SGI (淺漕隔離)、本實施例之LOCOS隔離只要 MOSFET和其他電晶體不共有源極或没極,原則上都以隔 離區域包圍其周圍。 首先,如圖27所示,熱處理半導體基板1而在其主面形 成膜厚10 nm程度的薄氧化矽膜2 (熱氧化製程B1)後,在此 氧化矽膜2上以CVD法沉積膜厚1〇〇 nm程度的氮化石夕膜3。 其次,如圖28所示,在氮化矽膜3上形成將元件分離區域 開孔的光阻劑4,以此光阻劑4為罩幕而將氮化矽膜3形成 圖案。 其次,除去光阻劑4後,如圖29所示,藉由熱處理半導 體基板1,在元件分離區域形成場氧化膜40 (熱氧化製程 B2)。 其次,以使用熱磷酸的濕式蝕刻除去氮化矽膜3,使半 導體基板1表面以濕式洗條清潔化後,在半導體基板1之活 性區域表面以和前述實施形態1同樣的方法形成膜厚5 nm O:\99\99337.DOC -44- 春 春1278932 以下的極薄閘氧化膜14 (熱氧化製程B3)(圖32)。 膜厚5 nm以下的極薄閘氧化膜也可以在如圖3〇所示的整 批式直立氧化膜形成裝置150 (氧化裝置3 ;直立整批氧化 爐)安裝如前述的觸媒方式水分生成裝置丨4〇而形成。圖31 顯示使用此直立氧化膜形成裝置15〇的形成閘氧化膜順序 一例。這種情況的順序和圖15大致同樣,但晶圓的裝及卸 有一些時間上的不同。此外,其他也有說明般地,這種情 況一般成為熱壁方式,所以實際上不氧化程度的少量氧氣 添加於淨化氣體比較重要。 其後,以和前述實施形態1同樣的方法在半導體基板1主 面上形成MOSFET。 (關於氧化製程等之共同事項) 以下,說明與本案所揭示之各半導體製程共同可適用的 處理裝置及處理製程詳情。 如前所述,圖9為用於形成閘氧化膜之單片式氧化膜形 成裝置(多室方式)的概略圖。此氧化膜形成裝置1〇〇連接於 洗滌裝置101後段’該洗滌裝置101係在形成閘氧化膜之 前,以濕式洗滌方式(也可以是乾式方式)除去半導體晶圓 1A表面之乳化膜(一般為表面膜)。藉由採用這種洗務一氧 化一貫處理系統,可不使在洗滌裝置101内交付洗滌處理 的半導體晶圓1A接觸大氣(不希望的氧化性氣氛等及其他 使表面狀態劣化的氣氛一般)且在短時間搬到氧化膜形成 裝置100 ’所以在除去氧化膜之後到形成閘氧化膜之間可 盡量抑制在半導體晶圓1A表面形成自然氧化膜。 O:\99\99337.DOC -45- 1278932 燥處理、纟°束的半導體晶圓1A通過緩衝區106,立即搬 到氧化膜形成裝置1〇〇。 此氧化膜形成裝置1〇〇以多室方式構成,該多室方式例 如具備氧化膜形成室1〇7、氧化氮膜形成室1〇8、冷卻台 109裝卸器110等,裝置中央的搬運系統112具備將半導 體曰曰圓1 Α搬入、搬出上述各處理室的機器手113。搬運系 統112内部為了盡量抑制因大氣混入而在半導體晶圓a表 面形成自然氧化膜,保持於氮等惰性氣體氣氛(也可以成 為真空,但以惰性氣體等成為正壓,則有防止來自外部及 各處理室的不希望氣體混合的效果)。此外,搬運系統U2 内部為了盡量抑制水分附著於半導體晶圓1Α表面,保持於 ppb水準的超低水分氣氛(一般良好配備的真空系統的除氣 中所含的水分為幾ppm以下搬入氧化膜形成裝置1〇〇的 半導體晶圓1A透過機器手113,先以1片或2片單位(一般提 起單片時,指1片或2片單位,但指定1片單位或2片單位 時’分別指單片、2片)搬到氧化膜形成室1〇7。 如前所述,圖11(a)為顯示氧化膜形成室1〇7 (圖9之單片 裝置)具體結構一例的概略平面圖,圖11(b)為沿著圖11(4 之B-B’線的截面圖(氧化裝置!;熱壁式單片氧化壁)。 此氧化膜形成室107具備以多重壁石英管構成之室120, 在其上部及下部設置加熱半導體晶圓1A的加熱器12la、 121b (熱壁式的情況)。室120内部收容圓盤狀均熱環122, 该圓盤狀均熱環122係使由此加熱器121 a、121 b供應之熱 均勻分散到半導體晶圓1A全面,在其上部裝載水平保持半 O:\99\99337.DOC -46- 1278932 導體晶圓1A (關於垂直重力,具有以下效果:藉由大致水 平配置晶圓表面,可排除混合氣體濃度分佈影響。此在 300 φ晶圓等大口徑化特別重用)的基座123。均熱環122以 石英或sic (碳化矽)等耐熱材料構成,為由室12〇壁面延伸 的支持臂124所支持。均熱環122附近設置熱電偶125,該 熱電偶125係測量保持於基座123的半導體晶圓ία溫度。半 導體晶圓1A的加熱除了加熱器121a、121b的加熱方式之 外,也可以採用例如圖12 (氧化裝置2 ;燈加熱式單片氧化 爐)所示之類的燈130加熱方式。這種情況,可將晶圓放在 預定位置之後開始燈加熱,一關燈,晶圓表面溫度會急速 下降,所以在熱壁情況等可減低到可幾乎無視***及抽出 時所形成的初期氧化膜等。又,有燈添加水分時,不僅水 分導入部,而且氧化爐本身也預熱到攝氏140度程度,防 止結露有效。 室120壁面一部分連接將水、氧及淨化氣體導入室120内 的氣體導入管126—端。此氣體導入管126他端連接於後述 的觸媒方式水分生成裝置。氣體導入管126附近設置具備 多數貫通孔127的隔壁128,導入室120内的氣體通過此隔 壁128之貫通孔127而均勻遍及室120内。室120壁面另外一 部分連接排出導入室120内的上述氣體的排氣管129—端。 如前所述,圖13及圖14為顯示連接於上述室12〇之觸媒 方式水分生成裝置的概略圖。此水分生成裝置14〇具備以 耐熱耐蝕性合金(例如以商品名「哈斯特洛伊(Hastell〇y)」 而聞名的鎳合金等)構成的反應器141,在其内部收容由Pt
O:\99\99337.DOC -47- Ι,278932 (鉑)、Ni (鎳)或Pd (鈀)等觸媒金屬構成的線圈142和加熱 此線圈142的加熱器143。 由氫及氧構成的製程氣體和由氮或Ar (氬)等惰性氣體構 成的淨化氣體從儲氣槽144a、144b、144c通過配管145導 入上述反應器141。配管145中途設置調節氣體量的質流控 制器146a、146b、146c和開關氣體流路的開關閥147a、 147b、147c,以這些精密控制導入反應器ι41内的氣體量 及成分比。 導入反應器141内的製程氣體(氫及氧)接觸加熱到35〇到 450 C程度(例如在常壓下,在充分的氧存在下有4%以上的 氫濃度會發生氫***性的燃燒,所以考慮量產裝置的安 全’認為最好將富氧的氫氧混合氣體導入反應器,以免氫 殘留)的線圈142而被激發,從氳分子生成氫基(H24 2 H),從氧分子生成氧基(〇2— 2 〇*)。這些2種基化學上極 為活性,所以迅速反應而生成水(2 H* + 〇*— H2〇)。此水 在連接部148内和氧混合而被稀釋成低濃度,通過前述氣 體導入官126而導入氧化膜形成室ι〇7之室12〇。這種情 況,也可以用氬稀釋,以取代氧。即,就供應給氧化爐的 氣氛而言,為水分1%、氬99〇/〇。 如上述的觸媒方式水分生成裝置14〇可高精度控制參與 水生成之氫和氧量,所以可從ppt以下的超低濃度到幾十% 程度的高濃度廣大範圍且高精度地控制和氧共同導入氧化 膜形成室1G7之室12〇的水濃度。此外,由於將製程氣體導 入反應斋141就瞬間生成水,所以可以即時幻得到
O:\99\99337.DOC -48- 1-278932 所希望的水分濃度。因此,可將氫和氧同時導入反應器· 141内,(一般情況為了安全會提前一些導入氧),無需如同 採用燃燒方式的習知水分生成系統一樣,在導入氫之前導 入氧。又,反應器141内的觸媒金屬若為可使氫或氧基團 化的,則也可以使用前述金屬以外的材料。此外,觸媒金 屬除了加工成線圈狀使用之外,也可以例如加工成十空管 或細的纖維過濾器等而在其内部通過製程氣體。 φ 在圖14中’水分產生爐丨4〇、氫感測器、過濾器、稀釋 部、淨化氣體或稀釋氣體供應部及氧化爐連接部等了防止 結露’被調溫或加熱到成為攝氏140度程度。此處,氫感 測器係檢測未被合成而殘留之氫的感測器。此外,過渡器 係萬一在氧化爐側發生氫燃燒等時,為了不將此燃燒傳到 合成爐側,作為一種隔板(orifice)起作用般地所***的漁 氣器。淨化氣體、稀釋氣體、水分都預熱到不結露程度的 溫度(一般攝氏100度以上200度以下程度)而供應給氧化 φ 爐,但在(稀釋氣體也先預熱後和所合成的水分混合)如圖 12的燈加熱爐,爐體本身或被處理晶圓本身的預熱也要考 慮。這種情況,也可以利用淨化氣體預熱氧化爐内的晶 圓。燈加熱爐的情況,特別是對防止晶圓導入部結露的預 熱機構也要加以注意。任一情況都先加熱或調溫到攝氏 140度程度,比較有效。 一般將預定氣氛氣體以一定流量供應給氧化處理部,一 ‘ 面經常以新的氣氛氣體補充所消耗的成分,一面以穩定狀 % 態進行氧化製程。 O:\99\99337.DOC -49- 1278932 錄一面參照圖15,一面更進一步說明使用上述氧化膜形 成裝置100 (圖9)的形成閘氧化膜順序一例。 首先,開放氧化膜形成室107 (圖9)之室120 (圖u),一 面將淨化氣體(氮)導入其内部(也可以如圖15所示,為防止 曰曰圓熱蝕刻等表面龜裂而將少許氧等加入淨化氣體),一 面將半導體晶圓1A裝在基座123上。將半導體晶圓丨八搬入 至120之後到裝在基座123上的時間為乃秒。其後,封閉室 120,接著導入淨化氣體3〇秒,充分進行室ι2〇内的氣體交 換基座I23先以加熱器121a、121b加熱,以便迅速加熱 半導體晶圓1A。半導體晶圓丨八的加熱溫度定為8〇〇到9〇〇 c的範圍内,例如85(rc。晶圓溫度在8〇(rc以下,則閘氧 化膜品質降低。另一方面,在9〇(rc以上,則容易發生晶 圓的表面龜裂。 將氧和氫導入反應器141之際,不要比氧先導入氫。比 氧先導入氫,未反應的氫就流入高溫的室12()内,很危 險。另一方面,比氫先導入氧,此氧就流入室12〇内,在 等待中的半導體晶圓1A表面形成低品質的氧化膜(初期氧 化膜)。因此,氫和氧同時導入或考慮作業安全性而以比 氧稍晚的定時(0到5秒以内)導入。如此一來,就可將不希 望形成於半導體晶圓1A表面的初期氧化膜膜厚抑制在最小 限度。 膜厚5 nm以下(同樣地當然對於這以上厚度之閘及其他 氧化膜也一定程度有效)的極薄閘氧化膜也可以在單片式 或整批式氧化膜形成裝置(氧化爐丨到3)安裝如圖33 (氧化 O:\99\99337.DOC -50- 1278932 裝置4,氫氣燃燒法式或氫燃燒法式氧化爐)所示的燃燒方 式水分生成裝置160而形成。 這種情況,以水分生成裝置160使含有比較高濃度之水 的氧化種產生後,藉由將氧加入此氧化種而得到低水分濃 度之氧化種。此時,要先將閥(Vvent)設定在開,將閥 (Vprocess)設定在關,到水分濃度降低到所希望的濃度為 止不將氧化種送到氧化膜形成裝置。而且,水分濃度充分 • 降低之後,將閥(Vvent)切換到關,將閥(Vprocess)切換到 開,將氧化種送到氧化膜形成裝置。 在氧化膜形成裝置正前面有閥等起塵源或因設置閥而產 生死二間專,上述方式比則述觸媒方式也有不利之點,但 可實現氧化種的低水分濃度化及抑制初期氧化膜。 (半導體製程C) 本發明之氧化膜形成方法在以下的情況也可以適用··如 圖34所示之以5 nm以下的薄膜厚形成具有浮置閥44和控制 • 閘42的快閃記憶體之隧道氧化膜43 (熱氧化製程C1)或第二 閘氧化膜44 (熱氧化製程C2)。 (半導體製程D) 此外,本發明之氧化膜形成方法在以下的情況也可以適 用·例如將記憶LSI和邏輯LSI混裝於同一半導體晶片上的 LSI在同一半導體晶片上形成膜厚不同的2種以上閘氧化 • 膜。這種情況,當然可將膜厚5 nm以下的薄閘氧化膜(熱 氧化製程D1)和5 nma上的比較厚閘氧化膜(熱氧化製程 ,D2)都用本發明之方法形成,但也可以用本發明方法形成
O:\99\99337.DOC -51- 1278932 膜厚薄的閘氧化膜,用習知方法形成厚的問氧化膜。 (本案之各種氧化法之適用性) 關於以上所示之本案所示之觸媒水分生成熱氧化法、低 水分氧化法(包含-部分氩燃燒法式)及習知氯燃燒法式之 高水分氧化之適用性,歸納如下。 即,就適用觸媒水分生成熱氧化法、低水分氧化法而最 有效果的製程而言,可舉氧化製程A3、B3、ci、c2、di 等(第一類)。 雖然也可以適用習知氫燃燒法式之高水分氧化,但就適 用觸媒水分生成熱氧化法、低水分氧化法而有效果的製程 而S ’可舉氧化製程A1、A2、B1、B2、〇2等(第二類卜 特別是在氫燃燒法式之氧化爐和觸媒方式之氧化爐混在 -起的生產線方面,氧化膜因性質、厚度等而混用兩方法 也有實用價值。 (本案之各種氧化裝置之適用性) 關於以上所示之本案所示之各種氧化裝置之適用性,歸 内如下本案所示之氧化裝置1到4基本上哪個都可適用於 上述第大員及第一類的氧化製程。然而,因多室等而要做 精密氣氛控制時,最好利用氧化裝置丨或2。 此外,關於各氧化處理裝置氧化時的運轉壓力,一般在 常麼(600托到_托)下進行,但也可以在減廢下進行。這 種情況’除了容易低設定氧化速度之外,也有可減低氯爆 炸可能性%的附加效果。 此外’也可以進行高廢氧化。這種情況有以下優點:可
O:\99\99337.DOC -52- 1278932 以比較低的溫度實現高的氧化速度。 (關於揭示之注意點) 以上,將由本發明者完成的發明根據其實施形態加以具 體說明,但本發明並不限於前述實施形態,當然可在不脫 離其要旨的範圍做各種變更。 6·產業上利用可能性 簡單說明在本案所揭示之發明中由具代表性者得到的 φ 效果如下: 根據本發明,由於可以均勻膜厚再現性良好地形成膜厚 5 nm以下且南品質的極薄閘氧化膜,所以可使具有閘長 0·25 μηι或此以下的細微]^〇81?£1[之半導體積體電路裝置的 可靠性、製造良率提高。 【圖式簡單說明】 圖1為顯示根據本發明實施形態丨之半導體積體電路裝置 之製造方法的要部截面圖。 • 圖2為顯示根據本發明實施形態1之半導體積體電路裝置 之製造方法的要部載面圖。 圖3為顯不根據本發明實施形態丨之半導體積體電路裝置 之製造方法的要部載面圖。 圖4為·、、、員不根據本發明實施形態丨之半導體積體電路裝置 之製造方法的要部載面圖。 圖5為顯示根據本發明實施形態!之半導體積體電路裝置 • 之製造方法的要部載面圖。 , 圖6為颍不根據本發明實施形態1之半導體積體電路裝置
O:\99\99337.DOC -53- 1278932 奪 之製造方法的要部載面圖。 圖7為顯示根據本發明實施形態1之半導體積體電路裝置 之製造方法的要部載面圖。 圖8為顯示根據本發明實施形態1之半導體積體電路裝置 之製造方法的要部載面圖。 圖9為用於形成閘氧化膜之單片式氧化膜形成裝置的概 略圖。 ® 圖10為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部載面圖。 圖11 (a)為顯示氧化膜形成室結構一例的概略平面圖, (b)為沿著(a)之B_B,線的截面圖。 圖12(a)為顯示氧化膜形成室結構他例的概略平面圖, (b)為沿著(a)之b_b,線的截面圖。 圖13為顯示連接於氧化膜形成室之室之觸媒方式水分生 成裝置的概略圖。 • 圖14為擴大顯示圖13之一部分的概略圖。 圖15為顯示形成閘氧化膜順序一例的說明圖。 圖16為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖17為顯示水分濃度對於氧化膜成長速度之相關性的圖 表。 圖18為顯示水分濃度對於MOS二極體之氧化膜初期耐壓 * 之相關性的圖表。 • 圖19為顯示使恆定電流流到MOS二極體之電極間時水分 O:\99\99337.DOC -54- 1278^32 漆度對於電壓變化量之相關性的圖表。 圓2〇為顯示閘氧化膜之晶圓面内之膜厚分佈的說明圖。 阖21為顯示閘氧化膜成分明細的圖表。 圓22為顯示根據本發明實施形態1之半導體積體電路裝 裏之製造方法的要部截面圖。 圖23為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 _ 圖24為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖25為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖26為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖27為顯示根據本發明實施形態2之半導體積體電路裝 •置之製造方法的要部截面圖。 圖28為顯示根據本發明實施形態2之半導體積體電路裝 之製造方法的要部截面圖。 圖29為顯示根據本發明實施形態2之半導體積體電路裝 置之製造方法的要部截面圖。 圖30為顯示氧化膜形成室結構他例的截面圖。 圖3 1為顯示形成閘氧化膜順序一例的說明圖。 • 圖3 2為顯示根據本發明實施形態2之半導體積體電路裝 • 置之製造方法的要部截面圖。 曰3 3為顯示根據本發明之氧化膜形成方法他例的概略 0:\99\99337.D( -55- 1278932 圖。 圖34為顯示根據本發明之半導體積體電路裝置之製造方 法他例的要部截面圖。 【主要元件符號說明】
1 半導體基板 1A 半導體晶圓 2 薄氧化矽膜 3 氮化矽膜 4, 8, 9 光阻劑 5 元件分離槽 5a 槽 6, 7 氧化矽膜 10 η型井 11 Ρ型井 12 ρ型通道區域 13 η型通道區域 14 閘氧化膜 15 閘極 16 ρ-型半導區域 17 ρ型半導體區域 18 η-型半導體區域 19 η型半導體區域 20 側壁間隙壁 21 Ρ+型半導體區域 O:\99\99337.DOC -56- 1278932
22 n+型半導體區域 23 TiSi2層 24 氧化矽膜 25至 28 連接孔 29至 31 配線 40 場氧化膜 100 氧化膜形成裝置 101 洗滌裝置 102 裝載器 103 洗滌室 104 氫氟酸洗滌室 105 乾燥室 106 緩衝區 10 7氧化膜形成室 108 氧化氮膜形成室 109 冷卻台 110 裝卸器 112 搬運系統 113 機器手 120 室 121a,121b 加熱器 122 均熱環 123 基座 124 支持臂 O:\99\99337.DOC -57- 1278932 125 熱電偶 126 管 127 貫通孔 128 隔壁 129 排氣管 140 水分生成裝置 141 反應器 142 線圈 143 加熱器 145 配管 O:\99\99337.DOC -58 -

Claims (1)

  1. 曰修(美)正替換頁 1278§灸2 03535號專利申請案 中文申請專利範圍替換本(95年3月) 十、申請專利範圍: 1· 一種半導體積體電路裝置之製造方法,其係包含以下之 步驟: (a) 在第一濕式氧化氣體氣氣中’在晶圓的第一主面上之 矽部件上,利用熱氧化形成第一氧化石夕膜; (b) 在上述第一氧化矽膜上形成氮化矽膜; (c) 在上述第一氧化矽膜及氮化矽膜上形成第一開口; (d) 在上述第一氧化石夕膜及氮化石夕膜形成有上述第一開口 之狀態下’在上述第一開口下之上述第一主面上的上 述矽部件上,形成元件分離溝; (e) 在第二濕式氧化氣體氣氛中,藉由熱氧化處理,在上 述元件分離溝内面形成第二氧化矽膜; (0以將上述元件分離溝填滿之方式,在上述氮化矽膜上 及上述元件分離溝内之上述第二氧化矽膜上,形成絕 緣膜;及 (g)將上述元件分離溝外之上述絕緣膜,以化學機械研磨除去; 於此’上述第一濕式氧化氣體氣氛係含有令觸媒作用 於含氧氣及氫氣之混合氣體而合成的水蒸氣。 2·如請求項1之半導體積體電路裝置之製造方法,其中該 第一氧化石夕膜之厚度為10 mm左右。 3·如請求項1之半導體積體電路裝置之製造方法,其中該 第二氧化石夕臈形成時之熱處理溫度,係900°C〜1150°C。 4·如明求項1之半導體積體電路裝置之製造方法,其中該 步驟(a)中之水蒸氣合成溫度係在5〇〇<>c以下。
TW094103535A 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device TWI278932B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP5078197 1997-03-05
PCT/JP1998/000892 WO1998039802A1 (fr) 1997-03-05 1998-03-04 Procede de production de circuit integre

Publications (2)

Publication Number Publication Date
TW200525644A TW200525644A (en) 2005-08-01
TWI278932B true TWI278932B (en) 2007-04-11

Family

ID=12868380

Family Applications (11)

Application Number Title Priority Date Filing Date
TW090114047A TW577129B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102374A TWI227530B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW090114046A TW577128B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102375A TWI227531B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW094103535A TWI278932B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW093110289A TWI250583B (en) 1997-03-05 1998-02-27 Manufacturing method for semiconductor integrated circuit device
TW089119829A TW471068B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device with insulation film
TW087102898A TW462093B (en) 1997-03-05 1998-02-27 Method for manufacturing semiconductor integrated circuit device having a thin insulative film
TW096101926A TW200746302A (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW095107658A TWI278933B (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW091102373A TWI233164B (en) 1997-03-05 1998-02-27 Method of making semiconductor integrated circuit device

Family Applications Before (4)

Application Number Title Priority Date Filing Date
TW090114047A TW577129B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102374A TWI227530B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW090114046A TW577128B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102375A TWI227531B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device

Family Applications After (6)

Application Number Title Priority Date Filing Date
TW093110289A TWI250583B (en) 1997-03-05 1998-02-27 Manufacturing method for semiconductor integrated circuit device
TW089119829A TW471068B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device with insulation film
TW087102898A TW462093B (en) 1997-03-05 1998-02-27 Method for manufacturing semiconductor integrated circuit device having a thin insulative film
TW096101926A TW200746302A (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW095107658A TWI278933B (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW091102373A TWI233164B (en) 1997-03-05 1998-02-27 Method of making semiconductor integrated circuit device

Country Status (6)

Country Link
US (14) US6239041B1 (zh)
EP (1) EP0973191A4 (zh)
KR (5) KR100544260B1 (zh)
CN (8) CN1508861A (zh)
TW (11) TW577129B (zh)
WO (1) WO1998039802A1 (zh)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577129B (en) * 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
JPH10335652A (ja) * 1997-05-30 1998-12-18 Hitachi Ltd 半導体集積回路装置の製造方法
JPH10340909A (ja) 1997-06-06 1998-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
US7923383B2 (en) * 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate
JP2001305368A (ja) * 2000-04-21 2001-10-31 Shin Etsu Chem Co Ltd 光導波路基板の製造方法
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US6554002B2 (en) * 2001-02-21 2003-04-29 United Microelectronics Corp. Method for removing etching residues
US7053459B2 (en) 2001-03-12 2006-05-30 Renesas Technology Corp. Semiconductor integrated circuit device and process for producing the same
US7049187B2 (en) 2001-03-12 2006-05-23 Renesas Technology Corp. Manufacturing method of polymetal gate electrode
US20020197823A1 (en) * 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP2003017595A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体装置
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US6764907B2 (en) * 2002-02-19 2004-07-20 Bart J. Van Zeghbroeck Method of fabricating self-aligned silicon carbide semiconductor devices
US7151048B1 (en) 2002-03-14 2006-12-19 Cypress Semiconductor Corporation Poly/silicide stack and method of forming the same
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6887736B2 (en) * 2002-06-24 2005-05-03 Cermet, Inc. Method of forming a p-type group II-VI semiconductor crystal layer on a substrate
JP3699956B2 (ja) * 2002-11-29 2005-09-28 株式会社東芝 半導体装置の製造方法
US7189652B1 (en) * 2002-12-06 2007-03-13 Cypress Semiconductor Corporation Selective oxidation of gate stack
US6844082B2 (en) * 2003-04-28 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate with anodized alumnium coating
JP3965167B2 (ja) 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
US20050106895A1 (en) * 2003-11-17 2005-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Supercritical water application for oxide formation
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber
US20070111372A1 (en) * 2004-07-20 2007-05-17 Cermet, Inc. Methods of forming a p-type group ii-vi semiconductor crystal layer on a substrate
US7303092B2 (en) * 2004-12-28 2007-12-04 Kimberly-Clark Worldwide, Inc. Wet wipe package
US20060266793A1 (en) * 2005-05-24 2006-11-30 Caterpillar Inc. Purging system having workpiece movement device
KR100648194B1 (ko) * 2005-07-27 2006-11-23 삼성전자주식회사 반도체 장치의 제조 방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7723154B1 (en) 2005-10-19 2010-05-25 North Carolina State University Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
US20070256599A1 (en) * 2005-12-16 2007-11-08 Jack Rigsby Inorganic Composite Material And Manufacturing Process
US20070261329A1 (en) * 2005-12-16 2007-11-15 Jack Rigsby Inorganic Composite Building Panel
EP1801843B1 (de) * 2005-12-22 2013-07-03 Applied Materials GmbH & Co. KG Anlage und Verfahren zur Behandlung von Substraten
DE102005061563A1 (de) * 2005-12-22 2007-07-19 Applied Materials Gmbh & Co. Kg Anlage zur Behandlung von Substraten und Verfahren
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP4620654B2 (ja) * 2006-12-25 2011-01-26 株式会社日立製作所 半導体集積回路装置の製造方法
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8614124B2 (en) 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US20080299780A1 (en) * 2007-06-01 2008-12-04 Uv Tech Systems, Inc. Method and apparatus for laser oxidation and reduction
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2010087475A (ja) * 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び製造装置
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
KR101258630B1 (ko) * 2008-11-21 2013-04-26 고쿠리츠다이가쿠호진 나가오카기쥬츠가가쿠다이가쿠 기판 처리 방법 및 기판 처리 장치
WO2010058812A1 (ja) * 2008-11-21 2010-05-27 国立大学法人長岡技術科学大学 基板処理装置
DE102009003393A1 (de) * 2009-01-27 2010-07-29 Schott Solar Ag Verfahren zur Temperaturbehandlung von Halbleiterbauelementen
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
JP5329294B2 (ja) * 2009-04-30 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN102612736A (zh) * 2009-10-06 2012-07-25 瑞萨电子株式会社 半导体器件及其制造方法
TWI497854B (zh) * 2009-10-08 2015-08-21 Truelight Corp 氧化侷限式面射型雷射製作方法
KR101511076B1 (ko) * 2009-12-08 2015-04-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
KR101835300B1 (ko) 2009-12-08 2018-03-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US8274081B2 (en) * 2010-03-22 2012-09-25 Micron Technology, Inc. Semiconductor constructions
US7829376B1 (en) 2010-04-07 2010-11-09 Lumenz, Inc. Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
WO2012126377A1 (en) 2011-03-22 2012-09-27 Nantong Fujitsu Microelectronics Co., Ltd. System-level packaging methods and structures
US8927363B2 (en) * 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
EA032058B1 (ru) * 2014-12-17 2019-04-30 Открытое акционерное общество "ИНТЕГРАЛ"-управляющая компания холдинга "ИНТЕГРАЛ" Способ термического окисления кремниевых пластин
JP6947914B2 (ja) * 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN108031836B (zh) * 2018-01-22 2019-12-03 北京大学 一种金属-金属氧化物纳米复合材料的制备方法
KR102160552B1 (ko) * 2018-02-28 2020-09-28 최영준 절연막 형성 방법 및 절연막 제조장치
CN108447770B (zh) * 2018-03-08 2020-07-28 清华大学 二氧化硅薄膜的制备方法
JP7278111B2 (ja) 2019-03-08 2023-05-19 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN111785612B (zh) * 2020-08-21 2022-05-17 中电晶华(天津)半导体材料有限公司 一种vdmos功率器件用二氧化硅层的制备方法
US11972942B2 (en) * 2021-09-23 2024-04-30 Texas Instruments Incorporated Gate oxide fabrication and system

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853A (en) * 1849-11-06 Improvement in seed-planters
US9813A (en) * 1853-06-28 Refrigerator for cooling liquids
US24870A (en) * 1859-07-26 Improvement in sewing-machines
US4315A (en) * 1845-12-16 Cylindrical type-setting
US42344A (en) * 1864-04-19 Oeein h
US19419A (en) * 1858-02-23 Cttlinaby ladle
US10975A (en) * 1854-05-30 Improvement in sewing-machines
US3857927A (en) * 1972-05-26 1974-12-31 Rockwell International Corp System and method including a catalyst bed for combining hydrogen and oxygen gases
US4139658A (en) * 1976-06-23 1979-02-13 Rca Corp. Process for manufacturing a radiation hardened oxide
US4119706A (en) * 1976-10-12 1978-10-10 Engelhard Minerals & Chemicals Corporation Method of catalytically recombining radiolytic hydrogen and radiolytic oxygen
US4199706A (en) * 1977-09-02 1980-04-22 Zenith Radio Corporation Spring-loaded resistor terminal
US4139858A (en) 1977-12-12 1979-02-13 Rca Corporation Solar cell with a gallium nitride electrode
JPS553820A (en) 1978-06-22 1980-01-11 Agency Of Ind Science & Technol Oxide catalyst for catalytic combustion of hydrogen
JPS5541805A (en) 1978-09-18 1980-03-24 Tanaka Kikinzoku Kogyo Kk Platinum group oxidation catalyst and preparation thereof
JPS56126650A (en) * 1980-03-07 1981-10-03 Fuji Heavy Ind Ltd Air-fuel ratio controlling apparatus
JPS6234166Y2 (zh) * 1980-07-28 1987-09-01
JPS5749895A (en) 1980-09-10 1982-03-24 Hitachi Ltd Catalyst structure of recombiner
CA1141522A (en) * 1980-11-03 1983-02-22 Karl T. Chuang Method of combining gaseous hydrogen and oxygen
JPS5819599A (ja) 1981-07-28 1983-02-04 株式会社東芝 放射性気体廃棄物処理系の再結合器用加熱装置
US4376796A (en) * 1981-10-27 1983-03-15 Thermco Products Corporation Processing silicon wafers employing processing gas atmospheres of similar molecular weight
JPS59132136A (ja) 1983-01-19 1984-07-30 Hitachi Ltd 半導体装置の製造方法
JPS60107840A (ja) 1983-11-16 1985-06-13 Hitachi Ltd 半導体素子の製造法
JPS60247933A (ja) 1984-05-23 1985-12-07 Oki Electric Ind Co Ltd 半導体製造装置
JPS6124967A (ja) * 1984-07-13 1986-02-03 大同酸素株式会社 高純度窒素ガス製造装置
US4579723A (en) * 1985-03-28 1986-04-01 The Boc Group, Inc. Methods for purifying inert gas streams
JPS62198128A (ja) 1986-02-26 1987-09-01 Toshiba Corp シリコン酸化膜形成方法及び装置
JPS6385630A (ja) 1986-09-30 1988-04-16 Fuji Photo Film Co Ltd ハロゲン化銀カラ−写真感光材料
CH674003A5 (zh) * 1987-03-11 1990-04-30 Bbc Brown Boveri & Cie
JPH01319940A (ja) 1988-06-22 1989-12-26 Kimmon Mfg Co Ltd 外部燃焼酸化装置
WO1990013911A1 (en) * 1989-05-07 1990-11-15 Tadahiro Ohmi Method of forming oxide film
US5296392A (en) * 1990-03-06 1994-03-22 Digital Equipment Corporation Method of forming trench isolated regions with sidewall doping
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
JPH0519746A (ja) 1991-07-12 1993-01-29 Matsushita Electric Ind Co Ltd 画像位置決定装置
US6146135A (en) * 1991-08-19 2000-11-14 Tadahiro Ohmi Oxide film forming method
US5495121A (en) * 1991-09-30 1996-02-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JPH05114740A (ja) 1991-10-23 1993-05-07 Kawasaki Steel Corp 半導体装置の製造方法
EP0614216A4 (en) 1991-11-22 1994-11-30 Tadahiro Ohmi APPARATUS FOR FORMING AN OXIDE FILM, HOT PROCESSING APPARATUS, SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF.
JP3535876B2 (ja) 1991-11-22 2004-06-07 財団法人国際科学振興財団 半導体装置及びその製造方法
JPH05141871A (ja) 1991-11-22 1993-06-08 Tadahiro Omi 熱処理装置
JP3129338B2 (ja) * 1991-11-29 2001-01-29 忠弘 大見 酸化膜形成装置
JPH05144804A (ja) 1991-11-22 1993-06-11 Tadahiro Omi 半導体装置の製造方法
US5244843A (en) * 1991-12-17 1993-09-14 Intel Corporation Process for forming a thin oxide layer
JP3331636B2 (ja) * 1992-10-05 2002-10-07 忠弘 大見 水分発生方法
JP3207943B2 (ja) * 1992-11-17 2001-09-10 忠弘 大見 低温酸化膜形成装置および低温酸化膜形成方法
JPH06163423A (ja) * 1992-11-18 1994-06-10 Fujitsu Ltd 半導体製造装置
JP3310386B2 (ja) * 1993-05-25 2002-08-05 忠弘 大見 絶縁酸化膜の形成方法及び半導体装置
JPH0710935A (ja) 1993-06-25 1995-01-13 Kanegafuchi Chem Ind Co Ltd グラフト共重合体及び該グラフト共重合体を含有してなるポリオレフィン系樹脂組成物
JP3081886B2 (ja) * 1993-06-30 2000-08-28 東京エレクトロン株式会社 成膜方法
JPH0811976B2 (ja) 1993-06-30 1996-02-07 光精工株式会社 円錐摩擦車式変速比連続可変変速機
JPH0710935U (ja) * 1993-07-24 1995-02-14 ヤマハ株式会社 縦型熱処理炉
JPH0786271A (ja) 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
JP3277421B2 (ja) * 1993-10-19 2002-04-22 ソニー株式会社 加熱処理装置および熱処理方法
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JPH07155069A (ja) 1993-12-09 1995-06-20 Kubota Corp 杭打ち装置
JP3256059B2 (ja) 1993-12-27 2002-02-12 株式会社日立製作所 半導体装置の製造方法
JPH07273101A (ja) 1994-03-31 1995-10-20 Tokyo Electron Ltd 枚葉式熱処理装置
JPH07283210A (ja) 1994-04-01 1995-10-27 Sony Corp 絶縁膜形成装置及び絶縁膜形成方法
JPH07297201A (ja) 1994-04-20 1995-11-10 Sony Corp 半導体基板の熱処理方法及び熱処理装置
JPH07297181A (ja) 1994-04-20 1995-11-10 Sony Corp 熱酸化処理方法及び熱酸化処理装置
JPH07321102A (ja) 1994-05-26 1995-12-08 Sony Corp 半導体装置の製造方法
US5880041A (en) * 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JPH0851205A (ja) 1994-08-08 1996-02-20 Ricoh Co Ltd 半導体装置の製造方法
JP3453223B2 (ja) 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JP3805825B2 (ja) * 1995-09-19 2006-08-09 株式会社東芝 絶縁膜の形成方法
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH0990092A (ja) 1995-09-20 1997-04-04 Hitachi Ltd 原子炉格納容器
JP2636817B2 (ja) 1995-10-27 1997-07-30 株式会社日立製作所 枚葉式薄膜形成法および薄膜形成装置
JP3423131B2 (ja) 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
US5629536A (en) * 1995-11-21 1997-05-13 Motorola, Inc. High voltage current limiter and method for making
JPH09153489A (ja) 1995-11-30 1997-06-10 Toshiba Corp 半導体装置の製造方法
JP2910647B2 (ja) * 1995-12-18 1999-06-23 日本電気株式会社 不揮発性半導体記憶装置の製造方法
JPH09172011A (ja) 1995-12-19 1997-06-30 Hitachi Ltd 酸化膜形成方法
JP3110465B2 (ja) * 1996-01-29 2000-11-20 株式会社 フジキン 水分発生用反応炉と水分発生用反応炉の温度制御方法及び白金コーティング触媒層の形成方法
US5686345A (en) * 1996-01-30 1997-11-11 International Business Machines Corporation Trench mask for forming deep trenches in a semiconductor substrate, and method of using same
JPH11186255A (ja) 1996-11-29 1999-07-09 Sony Corp シリコン酸化膜の形成方法
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
TW577129B (en) * 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
JP3393031B2 (ja) 1997-03-26 2003-04-07 忠弘 大見 水分発生用反応炉
JP3644790B2 (ja) * 1997-04-28 2005-05-11 忠弘 大見 水分発生用反応炉
JPH10284484A (ja) 1997-04-04 1998-10-23 Sony Corp シリコン酸化膜の形成方法
US5851892A (en) * 1997-05-07 1998-12-22 Cypress Semiconductor Corp. Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage
JPH10335652A (ja) 1997-05-30 1998-12-18 Hitachi Ltd 半導体集積回路装置の製造方法
JPH10340909A (ja) 1997-06-06 1998-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
JP3808975B2 (ja) * 1997-06-17 2006-08-16 忠弘 大見 半導体製造用水分の発生方法
US5861347A (en) * 1997-07-03 1999-01-19 Motorola Inc. Method for forming a high voltage gate dielectric for use in integrated circuit
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
JP3757566B2 (ja) 1997-08-21 2006-03-22 ソニー株式会社 シリコン酸化膜の形成方法及び酸化膜成膜装置
JPH1174264A (ja) 1997-08-29 1999-03-16 Sony Corp シリコン酸化膜の形成方法
US5935650A (en) * 1997-10-17 1999-08-10 Lerch; Wilfried Method of oxidation of semiconductor wafers in a rapid thermal processing (RTP) system
JPH11135492A (ja) 1997-11-04 1999-05-21 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
US6118167A (en) * 1997-11-13 2000-09-12 National Semiconductor Corporation Polysilicon coated nitride-lined shallow trench
JPH11162970A (ja) 1997-11-25 1999-06-18 Sony Corp 酸化膜の形成方法
JP3588994B2 (ja) 1997-11-27 2004-11-17 ソニー株式会社 酸化膜の形成方法及びp形半導体素子の製造方法
JP3644810B2 (ja) * 1997-12-10 2005-05-11 株式会社フジキン 少流量の水分供給方法
JPH11186248A (ja) 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
JP3563950B2 (ja) * 1998-01-06 2004-09-08 株式会社ルネサステクノロジ 水素含有排ガス処理装置
JPH11204517A (ja) 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
JPH11233508A (ja) 1998-02-13 1999-08-27 Sony Corp 絶縁膜の形成方法
US6291868B1 (en) 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6277765B1 (en) * 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
SE516755C2 (sv) * 1999-12-16 2002-02-26 Inmotion Technologies Ab Drivenhet för elektriska motorer innefattande ett kretskort med kraftdistributionsstavar.
US6579889B2 (en) * 2000-06-22 2003-06-17 Merck & Co., Inc. Substituted isonipecotyl derivatives as inhibitors of cell adhesion

Also Published As

Publication number Publication date
KR100544259B1 (ko) 2006-01-23
KR20000075974A (ko) 2000-12-26
CN1115720C (zh) 2003-07-23
US7250376B2 (en) 2007-07-31
TW200525644A (en) 2005-08-01
CN1249850A (zh) 2000-04-05
TW200625454A (en) 2006-07-16
EP0973191A1 (en) 2000-01-19
TWI233164B (en) 2005-05-21
KR20050103253A (ko) 2005-10-27
US20040157468A1 (en) 2004-08-12
TWI250583B (en) 2006-03-01
US7008880B2 (en) 2006-03-07
KR100544257B1 (ko) 2006-01-23
TW577129B (en) 2004-02-21
US6855642B2 (en) 2005-02-15
EP0973191A4 (en) 2005-07-06
US20040161945A1 (en) 2004-08-19
US6569780B2 (en) 2003-05-27
TWI227530B (en) 2005-02-01
US6596650B2 (en) 2003-07-22
CN1521810A (zh) 2004-08-18
US20010009813A1 (en) 2001-07-26
WO1998039802A1 (fr) 1998-09-11
CN100364056C (zh) 2008-01-23
TWI227531B (en) 2005-02-01
CN1290163C (zh) 2006-12-13
US6518201B1 (en) 2003-02-11
US20010006853A1 (en) 2001-07-05
KR20050103254A (ko) 2005-10-27
US20030219995A1 (en) 2003-11-27
US6528431B2 (en) 2003-03-04
US20020004315A1 (en) 2002-01-10
CN1521815A (zh) 2004-08-18
CN1508854A (zh) 2004-06-30
US20010010975A1 (en) 2001-08-02
CN1495859A (zh) 2004-05-12
CN1317744C (zh) 2007-05-23
US20050208731A1 (en) 2005-09-22
TW200415729A (en) 2004-08-16
CN1508861A (zh) 2004-06-30
TWI347638B (zh) 2011-08-21
US6518202B2 (en) 2003-02-11
US20050227501A1 (en) 2005-10-13
TW577128B (en) 2004-02-21
TWI278933B (en) 2007-04-11
KR20050103255A (ko) 2005-10-27
KR100544260B1 (ko) 2006-01-23
CN1521812A (zh) 2004-08-18
KR20050103252A (ko) 2005-10-27
US20040157467A1 (en) 2004-08-12
CN100533705C (zh) 2009-08-26
US20080045027A1 (en) 2008-02-21
KR100544258B1 (ko) 2006-01-23
TW200746302A (en) 2007-12-16
CN1521825A (zh) 2004-08-18
TW471068B (en) 2002-01-01
US7053007B2 (en) 2006-05-30
US6962881B2 (en) 2005-11-08
KR100551650B1 (ko) 2006-02-13
US6417114B2 (en) 2002-07-09
US7799690B2 (en) 2010-09-21
CN1327489C (zh) 2007-07-18
US6962880B2 (en) 2005-11-08
TW462093B (en) 2001-11-01
US6239041B1 (en) 2001-05-29
US20020009898A1 (en) 2002-01-24

Similar Documents

Publication Publication Date Title
TWI278932B (en) Manufacturing method of semiconductor integrated circuit device
US6323115B1 (en) Method of forming semiconductor integrated circuit device with dual gate CMOS structure
JP4550039B2 (ja) 半導体集積回路装置の製造方法
JP2007129240A (ja) 半導体集積回路装置の製造方法
JP4085068B2 (ja) 半導体集積回路装置の製造方法
JP2004221606A (ja) 半導体集積回路装置の製造方法
JP2007096335A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent