TWI238844B - Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film - Google Patents

Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film Download PDF

Info

Publication number
TWI238844B
TWI238844B TW090123951A TW90123951A TWI238844B TW I238844 B TWI238844 B TW I238844B TW 090123951 A TW090123951 A TW 090123951A TW 90123951 A TW90123951 A TW 90123951A TW I238844 B TWI238844 B TW I238844B
Authority
TW
Taiwan
Prior art keywords
resin
film
weight
silicon
carbon atoms
Prior art date
Application number
TW090123951A
Other languages
English (en)
Inventor
Yoshihiro Nakata
Kokki Suzuki
Izu Sugiura
Ei Yano
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Application granted granted Critical
Publication of TWI238844B publication Critical patent/TWI238844B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S428/00Stock material or miscellaneous articles
    • Y10S428/901Printed circuit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • Y10T428/249979Specified thickness of void-containing component [absolute or relative] or numerical cell dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/28Web or sheet containing structurally defined element or component and having an adhesive outermost layer
    • Y10T428/2839Web or sheet containing structurally defined element or component and having an adhesive outermost layer with release or antistick coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Paints Or Removers (AREA)

Description

1238844 A7 B7 五 、發明説明( 技―關申請案之交叉I考 本申請案係根據且請求在2001年3月23曰申請的曰本 專利申請案地2(^.84475號之優先權,其μ在此被合併 參考。 曼j月領域 本發明係關於-種使用-低介電常數薄膜和使用於其 的一以妙為主組合物之半導體元件、—低介電常數薄膜、 及用來生產該低介電常數薄膜之方法。 關技術之描述 用於半導體之整合和微小化以縮減產生在一半導體積 體電路之多層佈線程序中的佈線間之寄生電容、且縮減信 5虎傳播速度之延遲(即佈線延遲),是重要的。 雖然由絕緣膜之寄生電容所致的信號傳播速度之縮減 迄今已被認知,在整個元件上的佈線延遲之影響在其中佈 線間隙大於l//m的半導體元件世代中尚不明顯。 然而,在佈線間隙為1 # m或更小之情形中,在元件速 度上的影響變大。特別地,當電路用即將期待的…“ 更小的佈線間隙來形成時,佈線間的寄生電容將更影響元 件速度。據此,這對於半導體之整合和微小化將係大障礙。 換吕之,當信號傳播速度之縮減大幅依賴在一半導體 積體電路之多層佈線裝的佈線間之佈線電阻和寄生電容 時,元件之較面整合度使佈線和佈線間隙之寬度較窄,導 致在佈線間之佈線電阻和寄生電容上的增加。 可藉由使佈線厚度較薄來縮減橫截面積,來減少絕緣 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐: f請先閱讀背面之注意事項再填寫本頁) τ訂— Ϊ238844 五、發明説明(2 A7 B7 膜之電谷。然而,使佈線較薄導致較大的佈線電阻,且因 此、無法達成一較高信號傳播速度。 據此,針對達成較高信號傳播速度,使佈線之電阻、 和絕緣膜之介電常數較低是不可或缺的,期待它們未來在 决疋元件之特性上將扮演極重要角色。 佈線延遲(T)如方程式1顯示地受佈線間的佈線電阻(R) 和電容(C)影響。 T oc CR ⑴ 在方程式1中,在ε (介電常數)和c間的關係由方程式丨,來 表示。 C= ε 〇 ε r · S/d (1,) 其中S為一電極面積;ε 〇係真空之介電常數;ε「為絕緣 膜之相對介電常數;且d係佈線間隙。 因此’佈線延遲由使絕緣膜之介電常數較低而有效地 縮減。 諸如二氧化矽(Si02)、氮化矽(SiN)、和矽磷酸玻璃 (PSG)的無機膜和如聚先亞胺的有機聚合物迄今已使用為 絕緣材料。 然而’最常使用於半導體元件的CVD_Si〇2膜之介電常 數約為4。雖然現在引起注意為一低介電常數CVD膜的 SiOF膜之介電常數約為3 3·3 5,它容易潮濕、使得在它由 吸收水份而增加介電常數上具有問題。 另外,作為一低介電常數膜,由具有SiH鍵的矽氧烷 榷Μ旨製的多孔薄膜為已知。然而,當半導體元件用鹼性溶 本紙張尺度顧㈣國“ (請先閲讀背面、之注意事項再填寫本頁)
五 、發明説明(3 ) 液來清洗時,在高度易潮濕8咖基因水解而形成、導致增 加介電常數上的有問題,及在半導體部份上如由清洗引起 之裂痕等機械損壞的問題。為了解決這些問題,傳統上已 形成如SA的一保護膜。然而,這將使半導體元件中的低 介電常數膜之料相對地較小,且據此、有效介電常數在 多層佈線形成時將增大。 、車 可使用有機聚合物薄膜來具有較低介電常 數。然而’玻璃轉移溫度低至韻。C、且熱膨服係數為 大,使得佈線之損壞成問題。
發明之概I 因此,本發明之目的係解決上述幾個問題、以形成一 絕佳薄膜,及提供具有比那些傳統絕㈣者低的介電常數 之絕緣膜,且提供快速和可靠的半導體元件。 另外,在許多情形中本發明改善化學電阻、特別是對 以石夕土為主薄膜之驗性溶液,且它可解決在由石夕氧烧樹脂 製的傳統多孔薄膜中呈現的高度易潮濕特性之問題。 -根據本發明之一層面,提供有一種組成物,包含一矽 氧烷树月曰、大致包含矽的一矽化合物、碳和氫,其中碳對 在一分子之主鏈中形成一個鍵(其中x係(c)m(而m為範 圍從1至3的一整數)、或含有9或更少碳原?的-經替換或 未、、星替換芳香基)的矽原子之比數係從2:丨至12:丨,及一溶 劑。其上,請注意到(c)m2‘c,意味一碳原子。 如本發明之其他層面,提供有由使該組成物受到一熱 處理而獲得的一低介電常數膜、具有該低介電常數膜作為 1238844 A7 ________B7__ 五、發明説明(4 ) 層際絕緣膜的一半導體元件、及用來生產該低介電常數膜 之方法。 發現到由把再骨架鏈(主鏈)中的一矽-碳鍵之一石夕化 合物加至一矽氧烷樹脂而獲得的一薄膜,被給予如鹼的一 自然推斥化學物。 也發現到,當該化合物加至一矽氧烷樹脂時,化合物 因其高相容性而均勻地散佈到矽氧烷樹脂中,且即使該化 合物以重置〇 · 1份基於石夕氧烧樹脂之重量1⑼份的一權數比 率來加至矽氧烷樹脂,針對酸和鹼溶液的電阻仍改善且延 續。 也發現到在其骨架中具有一石夕-碳鍵的石夕化合物具有 高的濕度電阻,且因此、根據本發明之組成物即使在形成 除非被使用否則具有低濕度電阻之問題的多孔薄膜中仍有 效果。 也發現到一秒氧烧樹脂和此一碎化合物之組合可防止 在一鹼溶液中的一矽氧烷基低介電常數膜上引起的損壞 (如裂痕的機械損壞)、其對於具有siH鍵的低介電常數膜已 為問題’且在由易濕性引起的介電常數上之增加在許多情 形中可被解決,其對於低介電常數膜已為另一問題。 此一矽化合物可識別為在其主鏈中具有一個-x_鍵(其 中X係(C)m(而m=l至3)、或含有9或更少碳原子的一經替換 或未替換芳香基)的一矽化合物。 藉由使用在其主鏈中具有一個-Χ-鍵(其中又係⑴、(而 m二1至3)、或含有9或更少碳原子的一經替換或未替換芳香 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公楚) (請先閲讀背面之注意事項再填寫本頁) •訂— 1238844 A7 B7 五、發明説明( 土)的矽化&物,及一矽氧烷樹脂、形成含有兩者的一覆 蓋膜及把所產生薄膜加熱,可能由化學處理來生產含有 最]化水解ϋ及/或損壞的一低介電常數膜、同時在用來生 產半導體之—程序中介電常數之升高被壓制。 較佳地,上切化合物具有由下列公式2代表之結構: (2) Ρ (其中WR5各係相同或不同之Η或含有卜3碳原子的一脂 肪烴基或含有6-9碳原子的一經替換或未替換芳香基;R6 係含有ί·3碳原子的—脂肪烴基或—經替換或未替換苯 基,且ρ係20-1000的一整數)。 在尺4、尺5和聚合物化之程度上的限制是 -適當範圍内形成薄膜前來保持組成物之純,同時關 R6之限制對獲得所形成低介電常數膜之熱電阻是重要的 較佳地,上述矽氧烷樹脂具有由下列公式3代表 «2* Si "― 0 — Si ·~ 0- 0 π ··· (3) (其中Rl、R2、和R3各係相同或不同氣、敦、甲基或办 鍵 本紙張尺度適用中國國家標準(CNS) A4規格(210乂297公贅) 於 之結
.、可| (請先閲讀背面之注意事項再填寫本頁) 1238844 A7 -------- B7 五、發明説明(7 ) 中釋放四烧氧基石夕烷(&)和烷基三烷氧基矽烷及/或三烷氧 基矽烷(b)之總數,來生產該樹脂。 也已發現到較佳地,在矽氧烷樹脂中的碳濃度係在矽 氧烷樹脂中每原子總數從丨到⑽%原子之範圍中,來達成一 持續低介電常數。據推測水解在形成低介電常數膜時被壓 制。 也已發現到同樣較佳地,直接鍵接矽氧烷樹脂中的矽 之氮原子的濃度係在矽氧烷樹脂中每總原子數從1至25〇/〇 原子之範圍中。 其中兩條件都滿足的一情形也係較佳實施例中之一 個。 較佳地’上述矽化合物對上述矽氧烷樹脂的重量比例 係在從0.001至2的範圍中,亦即、前者之重量的〇1至2〇〇 放份基於後者之重量的1〇〇份。 車乂佳地’根據本發明的組成物也含有自包含一盼酸清 漆樹脂、一環氧基樹脂、一壓克力樹脂、一多元脂、聚丙 烯、一酚化合物、一咪唑化合物、及一鐵石化合物之群組 選出的一物質。 已發現到在上述物質中壓克力樹脂特別佳。 相對於聚合物化之程度、共聚合物化之位準等等有各 種壓克力樹脂。可自其中由試驗方法來選擇一適當者。 這些物貝係藉由在一低介電常數膜被形成時把其蒸發 和發散來形成氣孔。亦即、它們係分散劑(氣孔形成物質)。 雖然具有更低介電常數的一低介電常數膜可由形成氣 本紙張尺度適财_家_ (_ A4規格⑽心7公釐) 10 (請先閱讀背面_之注意事項再填寫本頁)
1238844 A7 I-----—-------B7 _ 五、發明説明(8 ) ' '—~ 孔來生產,如強度的機械特性同時劣化。因此,期望具有 適當尺寸之氣孔以適當方式來分佈。 較佳地,把從5至200份重量之一分散劑加到1〇〇份重量 之石夕氧烧樹脂。這是因為介電常數在小於5份重量時不充分 縮減,且薄膜之強度在超過200份重量時減小。 ^已發現到具有在一特定範圍中的熱重量損失係數之 一物貝適用為上述物質。亦即,期望一物質、當其以1 /min之速率從通常溫度向上加熱時、於丨5〇它損失其重量之 5重、且於4〇〇χ:損失其重量之9〇重量%。這可能因為 形成上述氣孔被適當控制。 期望到上述氣孔之多孔性係每總體積之低介電常數膜 有從10%到70%體積。當多孔性少於1〇%體積時,其多孔性 產生效果很小。當多孔性超過7〇%體積時,機械特性劣化。 一期望平均氣孔大小(直徑)係在從5〇至2〇〇11111之範圍内。 上述審視已顯示出具有一 Si〇4鍵、一 c-Si〇3_鍵、以及 一個-X-鍵(其中X係(c)m(而爪為範圍從1至3的一整數)、或 含有9或更少碳原子的一經替換或未經替換芳香基)、且具 有自10%至70%體積之多孔度及自至2.5之相對介電常 數的一低介電常數膜,達成一低介電常數、壓制水解、且 | 具有良好機械特性。具有此等特性的一低介電常數膜可藉 把含有包含矽氧烷樹脂的一組成物之薄膜和在其骨架鏈中 具有^夕碳鍵、含有氣孔的一 >5夕化合物組合來達成。 作為一代表性機械強度,期望於破裂處的張力強度在 由馬拉方法測量時自30至80Mpa。於小於30Mpa破裂處的 本紙張尺度適用中國國家標準(CNs) A4規格(210X297公楚) λ λ
.、可| (請先閲讀背面之注意事項再填寫本頁) 1238844 A7 B7 五、發明説明(9 ) ' 一張力強度機械上不充分。當它超過8〇撾料時,自機械觀 點上不致不方便,但介電常數在許多情形中將劣化。 本發明已因研究具有si〇4鍵、C-Si03-鍵、以及-X-鍵(其 中X係(C)m(而m為範圍從1至3的一整數)、或含有9或更少 碳原子的一經替換或未經替換芳香基)的一系統而達成。然 而,當只從物理特性之觀點觀察到所達成結果時,可考慮 到具有自10%至70%體積之多孔度、自14至2·5之一相對介 電吊數、及當由馬拉方法測量時於自3〇至8〇]^^^之破裂處 勺張力強I 1大致包含石夕、碳、氫和氧的一低介電常數 膜提供上述各種效果。 圖式之簡單描沭 第1圖係具有鋁(Α1)佈線的一半導體元件之橫截面圖; 第2圖係具有銅(Cu)佈線的一半導體元件之橫截面 圖;及 第3圖說明由修正第2圖中之半導體元件獲得的半導體 元件。 較佳實施例之描诫 使用下列圖式、表列、和實施例來例示說明本發明之 ^施例。本發明不限於這些實施例、圖式、和表列。其他 實把例只要在本發明之範脅和精神内即可屬於本發明 圍。 本發明之實施例之-係包含一石夕氧烧樹脂,大致包含 石夕、碳和氫且在其中碳原子對石夕原子比率為2:1至121的— 分子中之主鏈内具有一個_x_鍵(其中x^c)m(而m為範圍 本紙張尺度適财關家鮮(_ A4規格⑵ (請先閲讀背面之注意事項再填寫本頁) 訂— 12 1238844 A7 ____ B7_ 五、發明説明(10 ) 從1至3的一整數)、或含有9或更少碳原子的一經替換或未 經替換芳香基)的一矽化合物、以及一溶劑之組成物。 正常上藉由把含有四烷氧基矽烷、烷基三烷氧基矽 烧、及/或二烧氧基石夕烧的一混合物以及一溶劑加熱,接著 把一預定量之酒精蒸發來準備矽氧烷樹脂。 雖然正常上在上述加熱後來加入矽化合物,若可能也 可在加熱前來把它加入。 根據本發明在其骨架中要加至石夕氧烧樹脂、含有一石夕 碳鍵的矽化合物包括聚二甲基碳化矽烷、聚氫甲基碳化矽 烧、聚一乙基碳化石夕烧、聚氫乙基碳化石夕烧、聚碳化石夕燒 聚碳化矽雜苯乙烯、聚苯基甲基碳化矽烷、聚二苯基碳化 石夕燒、聚一甲基石夕伸苯基石夕氧烧、聚甲基石夕伸苯基石夕氧院、 聚二甲基矽伸苯基矽氧烷、聚乙基矽伸苯基矽氧烷、聚二 丙基矽伸苯基矽氧烷、聚丙基矽伸苯基矽氧烷、聚苯基矽 伸苯基矽氧烷、聚二苯基矽伸苯基矽氧烷、聚苯基甲基矽 伸苯基矽氧烷、聚苯基乙基矽伸苯基矽氧烷、聚苯乙丙基 石夕伸本基石夕氧烧、聚乙基甲基石夕伸苯基石夕氧烧、聚甲基丙 基石夕伸笨基石夕氧烧、及聚乙基丙基石夕伸苯基石夕氧烧。 較佳地把從〇·1至200份重量之矽化合物加至1〇〇份重 量之矽氧烷樹脂。若加上在小於〇.丨份重量上之矽化合物, 則無法獲得充分化學電阻。若加上大於2〇〇份重量上之矽化 合物’則所獲得薄膜之強度被縮減。 對使用於本發明的矽氧烷樹脂並無特定限制,只要它 可用一溶劑來稀釋。此一矽氧烷樹脂包括四烷氧基矽烷之 1238844 A7 ___B7 五、發明説明(11 ) 溶膠-凝膠型聚合物、三烷氧基矽烷之溶膠-凝膠型聚合 物、甲基三烷氧基矽烷之溶膠-凝膠型聚合物、四烷氧基石夕 烧和二烧氧基石夕院之溶膠-凝膠型聚合物、四烧氧基石夕烧和 甲基三烷氧基矽烷之溶膠-凝膠型聚合物、甲基三烷氧基矽 烷和三烷氧基矽烷之溶膠-凝膠型聚合物、四烷氧基矽烷和 二曱基烷氧基矽烷之溶膠-凝膠型聚合物、氫矽倍半烷氧化 物、甲基矽倍半烷氧化物、及含氟之氫矽倍半烷氧化物。 具有由上面公式2代表的化學結構之一矽化合物在上 述的矽化合物由一化學結構來界定時為較佳。具有由上面 公式3代表的化學結構之一矽氧烷樹脂在上述的矽氧烷樹 脂由一化學結構來界定時為較佳。 根據本發明例可如由一迴轉塗覆方法地藉由塗覆一組 成物來形成一薄膜。對稀釋溶劑並無特定限制,只要它分 解矽氧烷樹脂和在其使用於本發明的骨架中具有一矽碳鍵 之矽化合物。此一溶劑包括環己酮、甲基異丙基酮、甲基 乙基酮、甲基(2_乙氧基乙醇)、乙基(2-乙氧基乙醇)、辛烷、 癸烷、丙烯乙二醇、丙烯乙二醇單甲醚、丙烯乙二醇單甲 醚醋酸鹽、二伸乙基乙二醇。 根據本發明,當一多孔薄膜或類似者自上述組成物來 形成時,充分鹼電阻(苛性電阻)和濕度電阻被實現。 可使用用來形成根據本發明的一低介電常數膜之材 料、例如由一迴轉塗覆方法來把上述組成物塗覆在基體 於自120 C至250 C之溫度來把溶劑蒸發、接著於3〇〇 °C溫度來熱處理該經塗覆組成物以交又鏈接矽氧烷樹脂來 (請先閲讀背面之注意事項再填寫本頁)
⑵。x_)----- 1238844 A7 ------------B7___ — 五、發明説明(12) 形成一薄膜。也根據本發明,可例如藉由一迴轉塗覆方法 來把上述組成物塗覆在基體上、且執行溶劑的一蒸發處理 以及於自12G°CS250°C之溫度來實施分散劑的發散處理、 或用uv光照射來執行蒸發處理加發散處理、接著於3〇〇它 溫度來實施經塗覆組成物之熱處理以交叉鏈接矽氧烷樹 脂’來形成一多孔薄膜。 實施例 本發明之例子被描述於下。 下列方法被使用來分析。 矽氧烷樹脂或矽化合物之分子重量係由使用四氫呋喃 為溶劑的凝膠滲透層析法來決定,且表達為一經聚苯乙烯 轉換的分子重量。 石反原子對在石夕化合物之一分子的主鏈中形成一個 鍵(其中X係(C)m(而m為範圍從1至3的一整數)、或含有9或 更少碳原子的一經替換或未經替換芳香基)之矽原子的比 數由XPS(X射線光譜)來決定。 在矽氧烷樹脂中每總原子數之碳濃度由XPS(X射線光 譜)來決定。 直接鍵接於矽氧烷樹脂中每總原子數之矽的氫原子之 濃縮係由一原始材料之IR測量結果來計算。 熱重量損失係由透過在用於熱重力計的裝置中於從高 達500°C之通常溫度的i〇°c/min速率來加熱約l〇mg之樣品 的重量損失來決定。 多孔度係由一氮氣吸收方法來決定。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
A7 B7 _ if] 五、發明説明() — 13 裂痕之呈現或不呈現係由在一光學顯微鏡下的觀察來 決定。 實施例1 對於包含20.8g(0.1mol)之四乙氧基矽烷的混合物,在 一反應器中的17.8g(0.1m〇l)之甲基三乙氧基矽烷和39.化 之甲基異丁基酮,被滴加至於400ppm攪拌、含有硝酸的 16.2g(0.9mol)之硝酸水溶液。該滴加花費1〇分鐘。在完成 滴加後,反應器繼續成熟另2小時。反應在攪拌下被執行。 然後,5g之硫酸鎂被加入來去除過多之水,且由圓熟 形成的乙醇由旋轉蒸發器來去除、使得反應混合物之體積 變為50mL。0.7mol量之乙醇被形成。 2〇mL之甲基異丁基酮被加至所獲得反應混合物。然 後,甲基異丁基酮在於20(TC之爐子中被去除。據發現,反 應混合物含有17_4重量%之固體。174g之甲基氫丙基碳化 矽烷被加至反應混合物、來準備用來形成薄膜的一塗覆液 體。 用旋轉蒸發器的上述反應和處理於通常溫度和在正常 壓力下被執行。 分析顯示出,矽氧烷樹脂和在用來形成薄膜的塗覆液 體中之甲基氫聚丙基碳化矽烷的分子重量分別為35〇〇和 1800。 碳對形成在曱基氫丙基碳化矽烷之一分子的主鏈中之 一個鍵(其中X係(c)m(而m為範圍從1至3的一整數)、或 含有9或更少碳原子的一經替換或未經替換芳香基)的矽原 本紙張尺度顧悄^^Tcns ) 公釐) ---..J-------- (請先閱讀背面之注意事項再填寫本瓦) 訂 經濟部口.IP-八標艰工消費合作社印製 智慧財產局 16 !238844 A7 _ __B7 五、發明説明(14) 子之比數係3: 1。 基於上述矽氧烷樹脂之總原子數的碳濃度係13原子 % 〇 直接鍵接於矽、基於上述矽氧烷樹脂之總原子數的氫 原子之濃度係1原子%。 复jfe例2 除了 20_8g(0.1mol)之三乙氧基矽烷、和37.2g之甲基異 丁基酮被使用來取代20.8g(0.1m〇l)之四乙氧基矽烷、 17.8g(0.1m〇l)之甲基三乙氧基矽烷、和39 6g之甲基異丁基 酉同外,以和實施例1之者相似的方式來執行處理,且甲基異 丁基顚I在於200°C的爐子中被去除、來顯示溶液含有16.8 重量%之固體。〇.7mol量之乙醇被形成。 以相似於實施例1之者的方式、把i 68g之甲基羥丙基 石反化矽烧加至反應混合物,來準備用來形成薄膜的一塗覆 液體。 分析顯示出在用來形成薄膜的塗覆液體中之矽氧烷樹 脂的分子重量係2800。 基於上述石夕氧烧樹脂之總原子數的碳濃度係2原子%。 直接鍵接於石夕、基於上述石夕氧燒樹脂之總原子數的氫 原子之濃度係11原子%。 實施例3 除了 17.8g(0.1m〇l)之甲基三乙氧基矽烷、和 16.4g(0.1m〇l)之三乙氧基矽烷、和37.2g之甲基異丁基酮被 使用來取代20.8g(0.1m〇l)之四乙氧基矽烷、17 8g(〇 im〇i) 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、^τ· 17 1238844 A7 B7 五、發明説明(15) 之甲基三乙氧基石夕烷、和39.6g之甲基異丁基_外,以和實 施例1之者相似的方式來執行處理,且曱基異丁基酮在於 2〇〇°C的爐子中被去除、來顯示溶液含有158重量%之固 體。0.7mol量之乙醇被形成。 以相似於實施例1之者的方式、把h58g之曱基羥丙基 碳化矽烷加至反應混合物,來準備用來形成薄膜的一塗覆 液體。 分析顯示出在用來形成薄膜的塗覆液體中之矽氧烷樹 脂的分子重量係4500。 基於上述矽氧烷樹脂之總原子數的碳濃度係丨丨原子 % 〇 直接鍵接於石夕、在上述石夕氧烧樹脂之總原子數中的氫 原子之濃度係12原子%。 實施例4 把1.74g之壓克力樹脂加至用來形成在實施例1中準備 的薄膜之塗覆液體,來準備用來形成一多孔薄膜之一塗覆 液體。 上述壓克力樹脂於150°C損失其重量之1〇重量%,且於 4〇〇°C損失其重量之100重量。/〇。 實施例5 把1.68g之多元脂基樹脂加至用來形成在實施例2中準 備的薄膜之塗覆液體,來準備用來形成一多孔薄膜之一塗 覆液體。. 上述多元脂基樹脂於150°C損失其重量之10重量%,且
1238844 A7 B7 五、發明説明(I6) 於400°C損失其重量之95重量%。 實施例6 (請先閲讀背面之注意事項再填窝本頁) 把1.54g之金剛烷一元酚加至用來形成在實施例3中準 備的薄膜之塗覆液體,來準備用來形成一多孔薄膜之一塗 覆液體。 上述金剛烷一元酚於150°C損失其重量之60重量❶/〇,且 於400°C損失其重量之1〇〇重量〇/0。 實施例7 .、?τ— 在第1至6例中準備之用來形成薄膜之塗覆液體和用來 形成多孔薄膜之塗覆液體於每分鐘3〇〇〇轉(rpm)來迴轉塗 覆在一 Si晶圓上達20秒,且溶劑於2〇〇。〇來蒸發,且所獲得 薄膜於4〇〇°C、於l〇〇ppm或更少,在含有氮氣中之氧的氮 大氣下被淬火達30分鐘,來形成低介電常數絕緣膜。 在所獲得薄膜上,來形成具有1mm厚度之Au電極,且 該薄膜由所決定電容計算的相對介電常數被概要化在第1 表中。 刀政削在上述泮火步驟期間被去除,來形成氣孔。 氣孔之多孔度在實施例4的塗覆液體被使用時係 48%,在實施例5之塗覆液體被使用時係42%,且在實施例 6之塗覆液體被使用時係45%。 這些薄膜由FT-IR和XPS之分析確定Si〇4鍵、C-Si(V 鍵、及-X-鍵(其中X係(C)m(而㈤為範圍從丨至3的一整數)、 或含有9或更少碳原子的一經替換或未經替換芳香基)的存 在。
1238844 、發明説明 於破裂處的64Mpa。 張 力強度在由馬拉方法測量時 一關〉主各個第1至6例,所加入聚碳化矽烷之量如第2表顯 不地被改變,且薄膜以相似於實施例7之者的方式來準備、, 且^獲得薄膜分別在2·38重量%氫化四甲基财溶液、在5 重1 %氨氫氧化物水溶液中被浸泡1分鐘。然後看到裂痕, 且薄膜厚度和介電常數上的改變被決定。如第2表顯示的, 在浸泡處理後所有評估項目在0.1份重量或更多之聚甲基 虱碳化矽烷被加入時,顯示與那些在浸泡處理前者沒有改 變。 其次,將描述根據上述第1至6例、使用塗覆液體準備 的半導體元件之結構及生產它們的方法。 在此請注意,低介電常數膜在相似於實施例7之者的一 情況被形成於下。 第1圖說明具有銘(Α1)佈線的一半導體元件之橫截面 圖。一主動區由形成在石夕基體1之表面上的場域氧化膜2來 劃分。一 MOSFET 3形成在主動區中。MOSFET 3包含源極 區3 S、汲極區3 D、閘極電極3 G及閘極氧化膜31。 由Si〇2製的層際絕緣膜10和由SiN製的阻停膜1丨形成 在基體上方以覆蓋MOSFET 3。接觸孔12形成在層際絕緣 膜10中、於與没極區3D對應的一位置。由TiN製的障壁層 13覆蓋接觸孔12之側壁和下表面。另外,由鎢(w)製的插 (請先閲讀背面之注意事項再填寫本頁}
本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 20 1238844 A7 B7 五、發明説明(18 ) 頭14填充接觸孔12。 障壁層13和插頭14在一 TiN膜和一 W膜沉積在基體之 整個表面上方後,由化學機械拋光(CMP)來形成。TiN膜由 濺鑛來沉積。W膜由使用六氟化鎢和氫的化學氣相沉積 (CVD)來沉積。 在阻停膜11之表面上形成第一層佈線2〇。第一層佈線 20具有由具有5〇nm厚度(底部)之TiN膜2卜具有450nm厚度 之含Cu之A1膜22、及具有50nm厚度(頂部)組成,且以此順 序的一三層結構。TiN膜和A1膜由使用氯化氫氣體之電漿 I虫刻來圖型化。部份之第一層佈線2〇被電氣連接於w插頭 14。 第一層佈線20和阻停膜11之表面覆蓋有由Si〇2製、且 具有50nm厚度的列線膜25。列線膜25由使用原矽酸四乙酯 (TEOS)和氧的CVD來形成。 在列線膜25上形成低介電常數膜26。低介電常數膜26 可根據上述第4至6例、由迴轉塗覆該等塗覆液體來形成。 低介電常數膜26被形成,使得厚度在由矽基體之平坦表面 測量時為500nm。 為了更詳細解說,佈線20係由包括形成由一低介電常 數膜包圍的金屬圖型、且然後使金屬圖型之表面及低介電 常數膜之表面受到化學機械拋光(CMP)來形成一層(或薄 膜21、22、或23)之佈線20等步驟來形成。在形成佈線之過 程中,低介電常數膜26係藉由堆疊如上述的介電常數層而 形成。 21 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210><297公釐) 1238844 A7 ______B7_ 五、發明説明(B ) 在低介電常數膜26上形成由Si02製、且具有lOOOnm厚 度的頂蓋層27。頂蓋層27係由使用TEOS和氧的CVD來形 成。頂蓋層27之上部表面由CMP來磨平。此拋光被執行使 得列線膜25、低介電常數膜26和頂蓋層27之總膜層厚度在 第一層佈線20未設置的位置處測量時為12〇〇nni。 在三層之列線膜25、低介電常數膜26和頂蓋層27中形 成通孔28。通孔28係由使用CF4和CHF3的電漿蝕刻來形 成。通孔28之側壁和下表面被塗覆有由TiN製的障壁層 29。W插頭30填入通孔28。以相似於用來形成下方障壁層 13和插頭者之方式來形成障壁層29和W插頭30。 在頂蓋層27上形成第二層佈線40。第二層之列線膜 41、低介電常數膜42和頂蓋層43被堆疊以覆蓋第二層佈線 4〇。這些係以相似於形成第一層之對應部份者之方式來形 成。 在第1圖顯示的多層佈線結構中,在相同佈線層中相鄰 的佈線間之間隙根據本發明填有一低介電常數膜,使得佈 線間的寄生電容可減小。另外,即使在使用一鹼性溶液的 處理後,薄膜之濕度電阻不降級、且一低介電常數被維持。 第2圖說明具有銅(Cu)佈線的一半導體元件之橫截面 圖。從矽基體1至阻停層丨丨列出的元件之結構係與那些第^ 圖顯示的半導體元件之對應元件者相同。因此,第2圖之這 些兀件具有和第1圖之對應元件相同的參考標號和符號。 在阻停膜11上形成低介電常數膜50。低介電常數膜5〇 係使用根據上述例子的薄膜形成材料來形成,使得厚度在 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) (請先閲讀背面之注意事項再填寫本頁)
22 1238844 A7 ____ B7_ 五、發明説明(20 ) 由石夕基體之平坦表面測量時係45 Onm。在低介電常數膜5〇 上方形成由Si〇2製、且具有5〇nm厚度之頂蓋層51。頂蓋層 51係由使用TEOS和氧的CVD來形成。 第一層佈線凹槽52被形成跨越低介電常數膜5〇和頂蓋 層51。第一層佈線凹槽52係由使用CF4和CHF3的電漿餘刻 來形成。在第一層佈線凹槽52之下表面上出現插頭14之上, 表面。 第一層佈線凹槽52之側壁和下表面被覆蓋有由TaN 製、且具有50nm厚度的障壁層53。由Cu製的第一層佈線54 填入佈線凹槽52。 以下描述用來形成障壁層53和第一層佈線54之方法。 基體包括第一層佈線凹槽52之内部表面的整個上.方由 濺鍍形成一TaN膜。在所形成薄膜上由濺鍍進一步形成具 有50nm厚度之一 Cu膜。具有600nm厚度的一 Cu膜由使用所 形成Cu膜為一電極的電鍍來形成。Cu和TaN膜之過多部份 由CMP來去除,且障壁層53和第一層佈線54留在第一層佈 線凹槽52中。 在頂蓋層51上堆疊有由SiN製、且具有50nm厚度之擴 散防止膜60,低介電常數膜61,由SiN製、且具有5〇11111厚 度之阻停膜62,低介電常數膜63,和由SiN製、且具有5〇nm 厚度之頂蓋層64。擴散防止膜60和阻停膜62係由使用Siiane 和一氨氣體之電漿CVD來形成。低介電常數膜6丨和63係使 用根據本發明之以石夕為主組成物來形成。低介電常數膜61 和63被形成使得厚度在由矽基體之平坦表面測量時分別為 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 23 (請先閲讀背面之注意事項再填寫本頁) •訂— 1238844 A7 I 五、發明説"" ^ ~~- 650nm和 400nm。 由擴散防止膜60和低介電常數膜61包圍地形成通孔 68。由阻停膜62、低介電常數⑽和頂蓋層料包圍地形成 帛二層佈線凹槽69。通孔68和第二層佈線凹槽Μ之内部表 面覆蓋有由TaN製、且具有5Gnm厚度的障壁層川。由^製 #第二層佈線72填人軌68和第二層佈線凹⑽。第二層 佈線72由一雙重加波紋方法來形成。 s 了面簡述雙重加波时法。首先,通孔68被形成、範 圍從頂蓋層64到第一層佈線54之上表面。然後,第二層佈 、線凹槽69被形成、範圍從頂蓋層64到低介電常數膜61之上 表面。以相似於下方障壁層53和第—層佈線54之形成的方 式來形成障壁層70和第二層佈線72。 第一層佈線54和第二層佈線72被低介電常數膜%、61 和63包圍,使得佈線間的寄生電容之縮減可被達成。另外, it些低介電常數顏、61和63係用根據本發明的以石夕為主 、组賴㈣成,使得即使在由—祕處理㈣之處理後、 仍可不使濕度電阻降級地來把介電常數保持為低。 第3圖說明由修正被第2圖顯示的半導體元件而準備之 一半導體元件。 I 纟氮化梦製的阻停膜62被設置在低介電常數膜61和在 第2圖顯示的半導體元件中、於其上之低介電常數膜_ , 同時阻停膜62不設置在第3圖中,且低介電常數膜63接觸半 導體元件中的低介電常數膜6 J。 此一結構可藉由針對低介電常數膜來選擇材料而獲 本紙張尺度適用巾國Η家標準() Α4規;(21〇X 297公楚) """""' --
.、盯| (請先閲讀背面之注意事,再填窝本頁} 1238844 A7 B7 五、發明説明(22 ) 得,使得上方低介電常數膜63之蝕刻率在相同蝕刻條件 下,比下方介電常數膜61之者快。 若上方低介電常數膜63之蝕刻率快於下方介電常數膜 之者,則在由蝕刻來把上方低介電常數膜63去除後露出的 下方低介電常數膜61被更緩慢地蝕刻,同時第二層佈線凹 槽在通孔68被形成後由蝕刻來形成,結果是可不去除下方 低介電常數膜61地來去除上方低介電常數膜63。 第1表:塗覆的相對介電常數 實施例1 2.92 實施例2 2.88 實施例3 2.79 實施例4 2.12 實施例5 2.16 實施例6 2.14 第2表··浸泡在2.38%氫化四甲基銨水溶液之結果 所增加聚碳 化矽烷 (重董份數) .裂痕呈現或 不呈現 薄膜厚度上的改變 相對介電常數上的改變 實施例 贲施例 實施例 1 2 3 4 5 6 1 2 3 4 5 6 1 2 3 4 5 6 0 0. 05 - + + - + + -12 nm -18 ΑΒλ -23 im -21 na -22 nm »19 nn + 0.2 ♦ 0.3 + 0.4 + 0.4 ♦ 0 .S + 0.4 0.1 - - - - - - <-1 η» <-1 nm <~1 im <-l nn <-l nn nm <±0. 1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 10 <-l nm <·1 n 丨 <-l nn <-l nm <-l nm <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 50 - - - - - - <-1 nm <-l nm <-l nm <-l nn <-l nm <•>1 nm <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 100 <-l nm <-l nm c>l nm <•1 nm ¢-1 nm <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 180 • • - • - < · 1 nsx ¢-1 nn ¢-1 nm <-l nm <-l nm <-l nm <*0.1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 200 - - - - - - <-1 η» <-l nm <-X nm <-l nn <-l nn <·>! nm <±0. 1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 250 - - - - - - <-1η» <-l nm <-l nm <_1 nm <>1 nn <-l nm <to. 1 <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 25 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1238844 A7 B7五、發明説明(Z3 ) 第3表:浸泡在3.5%氨水溶液之結果 所增加聚碳 化矽烷 (重量份數) 裂痕呈現或1 不呈現 薄膜厚度上的改變 相對介電常數上的改變 實施例 實施例 實施例 1 2 3 4 5 6 1 2 3 4 5 6 1 2 3 4 5 6 0 了 T" Ξ = -32 na -31 nm • 35 nn -36 nm -15 nn HI n + 0·β + 0.3 + 0.2 + 0.4 + 0.2 + 0.4 0.05 "+~ 了 "+~ 5 na -9 nm -5 nm -8 nm -5 nn rn n + 0.2 + 0.2 + 0.2 + 0.3 + 0.2 + 0.2 0.1 了 <·1 ηη <-l nm L nm <~1 na <-: L nn <^1 n <±0.1 <±0· l <±0.1 <±0.1 <±0.1 <±0.1 10 了 了 二 了 了 了 nm <-l na Π! L nm <>1 ΠΒ <^1 L an n <*0.1 <±0.1 <±Q.l <*0.1 <±0.1 <±0.1 50 了 了 <~1 nm “1 nm L n祖 <-l nm L na <^1 n <±0.1 <±0.1 <±0.1 <±0.1 <±0.1 <10.1 100 = = = = = <-l nm <-l nm <T] L nm <-l nn L nn n 180 = = <-l nm <-l nm L nn <-l na L na n 200 = 了 了 了 ¢-1 nm <-l nm L nm <-l na L nn n 250 ~ = = ~ = = <-l nm <-l nm Ϊ nm <-l nm ΓΓ3 L nn n 根據本發明形成的一低介電常數膜具有一低介電常 數。薄膜也具有針對如酸和驗的化學物之大致絕佳電阻、 及絕佳濕度電阻,使得薄膜之介電常數因吸收濕氣而稍增 大。根據本發明形成的薄膜有效為供一半導體元件用之一 低介電常數層際絕緣膜。使用此絕緣膜允許獲得對抗裂痕 或類似者之產生,而具有一快速響應及/或一良好電阻(化^ 學電阻)的絕佳半導體積體電路。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 26 (請先閲讀背面之注意事項再填寫本頁) 1238844 1...矽基體 21、23...TiN 膜 2…場域氧化膜 22…含Cu之A1膜 3 …MOSFET 25...列線膜 3D...汲極區 26 、 42 、 50 、 61 、 63 3 G...閘極區 ...低介電常數膜 31…閘極氧化膜 27、43、51、64···頂蓋層 3S...源極區 28、68...if 孑L 10...層際絕緣膜 40、72…第二層佈線 11、62…阻停膜 41...第二層列線膜 12...接觸孔 52…第一層佈線凹槽 13、29、53、70.··障壁層 60...擴散防止膜 14、30...W 插頭 69...第二層佈線凹槽 20、54…第一層佈線 A7 B7 五、發明説明(24 ) 元件標號對照 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 27 (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 22曰 C3 D8 六、申請專利範圍 第90123951號專利申請案申請專利範圍修正本94年4月 1. 一種組成物,包含有: 一矽氧烷樹脂; -石夕化合物,包切、碳和氫,其中碳原子對於名 一分子之主鏈中形成一個1_鍵(其中又係(c、(而⑺為箱 圍從1至3的-整數)、或含有9或更少碳原子的一經取我 或未經取代芳香基)的石夕原子之比例係從以至^ ;及 一溶劑; 其中该矽化合物具有由公式2代表之結構: •Si~ Re P (2) 經濟部智慧財產局員工消費合泎社印忽 (其中rar5各係相同或不同之H、或含有⑴碳源 的脂肪族烴基、或含有6-9碳原子的經取代或未取代 香族炊基,R0係含有丨至3碳原子的脂肪族烴基、或經 代或未取代伸苯基;且以系範圍從2〇至1〇〇〇的一整數 其中_氧烧樹脂具有由公式3代表之一結構·· U r2 I. ISi — O—Si — 0 0 Ra
    (3) (其中Ri R2、和R3各係相同或不同氫、氟、甲基或 本紙 !238844
    申請專利範圍 基;且n係範圍從5至1000的一整數)。 (請先閱讀lr面之注意^ 2·如申請專利範圍第1項之組成物,其中該矽氧烷樹脂係 由下列來獲得: 使含有於0:1至1 ··〇之一質量比例(a.b)的四烧氧基 石夕烧(a)和一烷基三烷氧基矽烷及/或三烷氧基矽烷(b) 之一混合物受到熱處理;及 藉由把100摩爾之四烧氧基石夕烧⑷和烧基三燒氧 基矽烧及或二烧氧基石夕烧(b)的總數(即a+b)中從i 00至 400摩爾之酒精釋放。 3·如申請專利範圍第1項之組成物,其中在該矽氧烷樹脂 中的碳濃度係在基於該矽氧烷樹脂之總原子數、從1至 8〇原子%之範圍中。 4·如申請專利範圍第1項之組成物,其中直接鍵結於在該 矽氧烷樹脂中的矽之氫原子濃度係在基於該矽氧烷樹 脂之總原子數,從1至25原子%之範圍中。 如申明專利範圍第1項之組成物,其中從〇.丨至份重 ϊ之該矽樹脂係基於100份重量之該矽氧烷樹脂而被使 用。 經濟部智慧財產局員工消費合作社印製 6.如申請專利範圍第卜2、3、4或5項之組成物,其中: 該組成物含有用來形成氣孔的一分散劑;及 該分散劑包含在於自通常溫度的1〇t:/min之速率 往上加熱時於150°C損失其重量之5重量%、且於400t 損失其重量之90重量%的一物質。 7·如申請專利範圍第6項之組成物,其中該分散劑包含有 29 297公 1238844
    六、申請專利範圍 經濟部智慧財產局員工消費合作社印製 自包含㈣清漆樹脂、環氧基樹月旨、壓克力樹脂、多元 脂、聚丙烯、酚化合物、咪唑化合物、及一金剛烷化合 物之群組選出的至少一物質。 8·如申請專利範圍第6項之組成物,其中5至2〇〇份重量之 該分散劑被加至100份重量.之該矽氧烷樹脂。 9·如申請專利範圍第6項之組成物,#中該分散劑係一壓 克力樹脂。 10·種低介電常數薄膜,係藉由使依據申請專利範圍第 1、2、3、4或5項之組成物受到一熱處理而獲得者。 U·如申請專利範圍第1〇項之低介電常數薄膜,其具有含有 在從10%至70〇/〇體積之範圍中的一多孔度之氣孔。 U· —種低介電常數薄膜, 具有Si02鍵、C-Si03-鍵、及-X-鍵(其中X係(c)m(而 m係在從1至3之範圍中的一整數)、或含有9或更少碳原 子的一經取代或未取代芳香基);及 具有在從10%至70%體積之範圍中的多孔度、及在 從1.4至2.5之範圍中的一相對介電常數; 該薄膜係藉著將一組成物進行熱處理而獲得,該組 合物包含有一矽化合物、一矽氧烷樹脂以及一溶劑, 矽化合物具有由公式2代表之結構: ^4 I 一 Re I\R5 P ---} —1 L------·裝--------訂------- (請先閱讀背面之注意事項再填寫本頁) 線0 該 (2) 、張尺度適吊 中!S國家標芈(CNS)A4規祐(2Κ)>:297·ϋ 30 1238844 六、 申請專利範圍 (其中R4和h各係相同或不同之H、或含有1至3碳 原子的脂肪族烴基、或含有6-9碳原子的經取代或未取 代芳香族烴基;R6係含有1至3碳原子的脂肪族烴基、或 經取代或未取代伸苯基;且p係範圍從2〇至1〇〇〇的一整 數);該石夕氧烧樹脂具有由公式3代表之結構:
    (3) (請|^閱讀If-面之注意事0填寫本頁) 經濟部智慧財產局員工消費合作社印製 (其中R〗、R2、和&各係相同或不同氫、氟、曱基 或-0-基;且η係範圍從5至1〇〇〇的一整數)。 13·如申請專利範圍第1〇項之低介電常數薄膜,其具有在由 馬拉方法測量時、於在從30至80Mpa之範圍中的破裂之 張力強度。 14· 一種低介電常數薄膜, 包含矽、碳、氫和氧;及 具有在從10%至70%體積之範圍中的一多孔度、在 從1.4至2.5之範圍中的一相對介電常數、及在由馬拉方 法測置時、於在從3〇至g〇Mpa之範圍中的破裂之一張力 強度; 該薄膜係藉著將一矽化合物、一矽氧烷樹脂以及 一溶劑進行熱處理而獲得,該矽化合物具有由公式 2代表之結構: ^紙诋/vie適用屮國國家標準(CNS)A4蜆柊(2i〇 31 1238844 Αδ Βδ C8 ~~---------- D8 六、申請專利範圍 /R4 I —一Si-Re I\r5 (其中R4和R5各係相同.或不同之H、或含有i至3碳 原子的脂肪族烴基、或含有6_9碳原子的經取代或未取 代芳香族烴基;&係含有1至3碳原子的脂肪族烴基、或 經取代或未取代伸苯基;且p係範圍從2〇至1〇〇〇的一整 數),該矽氧烷樹脂具有由公式3代表之結構:
    1 I I 1 I I 1 I I si—ο — ο QTIsi~丨R3 3 η 經«部智慧財產局員工消費合作^印裝 (其中Ri、R2、和Rs各係相同或不同氫、氟、甲基 或-〇-基;且η係範圍從5至1〇〇〇的一整數)。 15·—種半導體元件,其具有作為間層絕緣膜的如申請專利 範圍第10項之低介電常數薄膜。 16· —種半導體元件,其包含一佈線,該佈線包括一含銅材 料和一如申請專利範圍第1〇項之低介電常數薄膜,該佈 線係由下列方式來形成: 藉由形成一包含一含銅材料的金屬圖型,並以一低 介電常數薄層將該圖型包圍;及 藉由使該金屬圖型之表面與該低介電常數薄層之 本㈣尺度過用中固--------—— 裝 訂--------- (請先閱讀背面之注意事項再填寫本頁) I 1 t 32 1238844 A8 B〇 C3 D8 六、宇/請專利範圍 表面一起受到化學機械拋光,來形成該佈線或該佈線之 一層, 其中该低介電常數薄膜被形成為一層狀物,且該低 介電常數薄膜包括作為該層狀物一部份的該介電常數 薄層。 17.—種生產低介電常數薄膜之方法,其中一組成物包含: 一些矽氧烷樹脂,具有由下列公式3代表之一結構, U R2 *Si — 0 — Si —· 0- 0 Ra η (3) G、中R】、R2、和各係相同或不同氫、敦、甲基或 鍵;且η係範圍從5至1〇〇〇的一整數); 一矽化合物,由矽、碳、和氫組成,且具有由下列 公式2代表之一結構:/r4 \ I —Si— R6-- (緣先閱讀1面之注意事^^填寫本頁) 經濟部智慧財產局員工消費合作社印制仅
    (其中R4和各係相同或不同之Η、或含有1至3碳原子 的一爿曰肪煙基、或含有6-9碳原子的一經取代或未取代 芳香烴基;R0係含有1至3碳原子的一脂肪族烴基、或— 經取代或未取代伸苯基;且ρ係範圍從2〇至1〇00的—整 ’从〜技適用甲國國家標準(CNS)M規格(210 >< 297 ) - 33 ~ ’一'':〜~ 1238844 Α8 Β8 C8 D8 、申請專利範圍 數)’其中碳原子對於在一分子之主鏈中形成一個-X-鍵(其中X係(C)m(而m為範圍從1至3的一整數)、或含有9 或更少碳原子的一經取代或未經取代芳香族基)的矽原 子之比例係在範圍從2:1至12:1中, 一溶劑;及 . 一分散劑,用來形成氣孔,該分散劑受到一熱處 理、使得該矽氧烷樹脂和該矽化合物被交叉鏈結、且該 溶劑和該分散劑被蒸發來留下氣孔。 18.—種生產低介電常數薄膜之方法,其中一組成物包含 使含有於0:1至1:0之一質量比例(a:b)的四烷氧基 矽烷(a)和烷基三烷氧基矽烷及/或三烷氧基矽烷(b)之 一混合物受到一熱處理,及藉由把1〇〇摩爾之四烷氧基 矽烷(a)和烷基三烷氧基矽烷及/或三烷氧基矽烷的 總數(即a+b)中、從1〇〇至400摩爾之酒精釋放; 一矽化合物,由矽、碳、和氫組成,且具有由下列 公式2代表之一結構: / r4 \ I ——Si — Re I I …(2 ) \Rs / p (其中R4和R5各係相同或不同之H、或含有1至3碳原子 的一脂肪烴基、或含有6-9碳原子的一經取代或未取代 芳香烴基,R0係含有1至3碳原子的一脂肪烴基、或一經 取代或未取代伸苯基;且?係範圍從2〇至1〇〇〇的一 ㈣家標準(cns)/以 請 先 閱 讀 背 £ 之 注 意 事 項 經濟部智慧財產局員工消費合作ΰ印炎: 尽紙
    34 1238844
    申請專利範圍 數),其中碳原子對於在一分子之主鏈中形成一個-X-鍵(其中X係(C)m(而m為範圍從1至3的一整數)、或含有9 或更少碳原子的一經取代或未經取代芳香族基)的矽原 子之比例係在範圍從2:1至12:1中, 一溶劑;及 一分散劑,用來形成氣孔,該分散劑受到一熱處 理、使得該矽氧烷樹脂和該矽化合物被交叉鏈結、且該 溶劑和該分散劑被蒸發來留下氣孔。 19·如申請專利範圍第17或18項之方法,其中該發散作用物 包含在於自通常溫度的1〇 °C /min之速率往上加熱時於 l5〇°C損失其重量之5重量%、且於4〇〇°C損失其重量之 90重量%的一物質。 20·如申請專利範圍第19項之方法,其中該分散劑包含有從 由酚醛清漆樹脂、環氧基樹脂、壓克力樹脂、一多元脂、 聚丙婦、紛化合物吟坐化合物、及金剛烧化合物組成 之群組選出的至少一物質。 21.如申請專利範圍第19項之方法,其中5至200份重量之該 經濟部智慧財產局員工消費合作社印製 分散劑被加至100份重量之該石夕氧烷樹脂。 22·如申請專利範圍第21 甘士分 貝之方法,其中該分散劑係一壓克 力樹脂。 23.==第19項之方法,其中在”氧院樹脂中 濃度辣基於料w㈣之總料數、從⑴ 原子%之範圍中。 24·如申請專利範圍第19項 方法,其中直接鍵結於在該矽 本紙 中國g家標準(CNS^^T 規柊(210 297 35 1238844 cs ~ —~-__ ___ D8 六、申請專利範圍 ~ 氧烧樹脂中_之氫原子濃度係在基於财氧院樹脂 之總原子數、從1至25原子%之範圍中。 25·如申請專利範圍第19項之方法,其中從01至2〇〇份重量 之該矽樹脂係基於100份重量之該矽氧烷樹脂而被使 用。 · (請先閱讀背面之注意事項再填寫本頁) 襄--------訂--------.線g 經濟部智慧財產局員工涓費合作社印製 八心過吊中國國家標準(CNS,)/vr^iF 36
TW090123951A 2001-03-23 2001-09-27 Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film TWI238844B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001084475A JP4545973B2 (ja) 2001-03-23 2001-03-23 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法

Publications (1)

Publication Number Publication Date
TWI238844B true TWI238844B (en) 2005-09-01

Family

ID=18940139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090123951A TWI238844B (en) 2001-03-23 2001-09-27 Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film

Country Status (6)

Country Link
US (2) US6780498B2 (zh)
JP (1) JP4545973B2 (zh)
KR (1) KR100726269B1 (zh)
CN (1) CN1220731C (zh)
DE (2) DE10164943B4 (zh)
TW (1) TWI238844B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
JP3859540B2 (ja) 2002-05-14 2006-12-20 松下電器産業株式会社 低誘電率絶縁膜形成用材料
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004311597A (ja) * 2003-04-03 2004-11-04 Ngk Spark Plug Co Ltd 補強材付き半導体素子、半導体素子と補強材と基板とからなる配線基板及びその製造方法
JP2005064226A (ja) 2003-08-12 2005-03-10 Renesas Technology Corp 配線構造
CN1871697B (zh) * 2003-10-22 2010-12-01 日商乐华股份有限公司 液状组合物、其制造方法、低介电常数膜、研磨料及电子部件
JP2005175060A (ja) 2003-12-09 2005-06-30 Jsr Corp 絶縁膜およびその形成方法、ならびに膜形成用組成物
US7088010B2 (en) * 2003-12-18 2006-08-08 Intel Corporation Chip packaging compositions, packages and systems made therewith, and methods of making same
JP4498732B2 (ja) * 2003-12-25 2010-07-07 積水化学工業株式会社 多孔質体およびその製造方法
JP5013045B2 (ja) * 2004-01-16 2012-08-29 Jsr株式会社 ポリマーの製造方法
EP1705208B1 (en) * 2004-01-16 2013-03-20 JSR Corporation Composition for forming insulating film, method for producing same, silica insulating film, and method for forming same
JP2005272816A (ja) * 2004-02-26 2005-10-06 Jsr Corp ポリマーおよびその製造方法、絶縁膜形成用組成物、ならびに絶縁膜およびその形成方法
WO2005082976A1 (ja) * 2004-02-26 2005-09-09 Jsr Corporation ポリマーおよびその製造方法、絶縁膜形成用組成物、ならびに絶縁膜およびその形成方法
JP5110238B2 (ja) * 2004-05-11 2012-12-26 Jsr株式会社 絶縁膜形成用組成物およびその製造方法、ならびにシリカ系絶縁膜およびその形成方法
WO2005108469A1 (ja) * 2004-05-11 2005-11-17 Jsr Corporation 有機シリカ系膜の形成方法、有機シリカ系膜、配線構造体、半導体装置、および膜形成用組成物
JP5110239B2 (ja) * 2004-05-11 2012-12-26 Jsr株式会社 有機シリカ系膜の形成方法、膜形成用組成物
CN100423210C (zh) * 2004-06-30 2008-10-01 中芯国际集成电路制造(上海)有限公司 超低介电常数薄膜及其制造方法
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20060163731A1 (en) * 2005-01-21 2006-07-27 Keishi Inoue Dual damascene interconnections employing a copper alloy at the copper/barrier interface
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7262127B2 (en) * 2005-01-21 2007-08-28 Sony Corporation Method for Cu metallization of highly reliable dual damascene structures
JP2006351880A (ja) * 2005-06-16 2006-12-28 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法及び層間絶縁膜の膜構造
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
FR2889850B1 (fr) * 2005-08-19 2007-11-02 Rhodia Chimie Sa Revetement silicone de faible constante dielectrique, procede de preparation et application aux circuits integres
US7300868B2 (en) 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) * 2006-03-31 2007-10-04 Takeshi Nogami Damascene interconnection having porous low k layer followed by a nonporous low k layer
US7927664B2 (en) * 2006-08-28 2011-04-19 International Business Machines Corporation Method of step-and-flash imprint lithography
CN101627463B (zh) * 2007-03-13 2012-05-30 富士通株式会社 半导体装置和半导体装置的制造方法
JP5119832B2 (ja) * 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
JP5304983B2 (ja) * 2008-02-12 2013-10-02 Jsr株式会社 ケイ素含有膜形成用組成物
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
KR101354637B1 (ko) * 2009-12-30 2014-01-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
KR101344795B1 (ko) * 2009-12-31 2013-12-26 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
JP2012104616A (ja) * 2010-11-09 2012-05-31 Hiroshima Univ 低誘電率膜の前駆体組成物及びこれを用いた低誘電率膜の製造方法
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
RU2496167C1 (ru) * 2012-02-21 2013-10-20 Общество с ограниченной ответственностью "Инвест-Энерго" Кремнийорганическая электроизоляционная гидрофобная композиция для высоковольтных изоляторов
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
CN107586570B (zh) * 2017-10-16 2021-10-01 北京三聚环保新材料股份有限公司 一种硅改性载体的制备方法以及由该载体制备的脱氧剂和该脱氧剂的制备方法
CN110028640B (zh) * 2019-04-23 2021-08-06 湘潭大学 一种基于三苯基咪唑-间苯三酚的多孔聚合物及其制备方法和用途

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5872923A (ja) * 1981-10-28 1983-05-02 Hitachi Ltd 液晶表示素子
US4778722A (en) * 1986-05-15 1988-10-18 Ube Industries, Ltd. Reinforcing fibers and composite materials reinforced with said fibers
JPH02222511A (ja) * 1989-02-23 1990-09-05 Showa Electric Wire & Cable Co Ltd 耐熱コイルの製造方法
JPH0570119A (ja) 1991-09-12 1993-03-23 Kawasaki Steel Corp 半導体装置の製造方法
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JPH07296648A (ja) * 1994-03-01 1995-11-10 Hitachi Cable Ltd 高耐熱絶縁電線
JPH08130247A (ja) * 1994-10-31 1996-05-21 Nippon Zeon Co Ltd シロキサン系ポリマー含有塗布液及びその製造方法
US5599624A (en) * 1995-07-03 1997-02-04 General Electric Company Amorphous silicon oxycarbide coated silicon carbide or carbon fibers
JP3499393B2 (ja) * 1996-02-16 2004-02-23 鐘淵化学工業株式会社 ケイ素系化合物を主成分とする予備硬化物及びそれを用いた成形体の作製方法
JP3489946B2 (ja) * 1996-11-08 2004-01-26 富士通株式会社 半導体装置の絶縁膜形成方法及び絶縁膜形成材料
JPH11124436A (ja) * 1997-03-27 1999-05-11 Osaka Gas Co Ltd 樹脂組成物、その製造方法および成形物
US6043330A (en) * 1997-04-21 2000-03-28 Alliedsignal Inc. Synthesis of siloxane resins
JPH1154498A (ja) * 1997-07-31 1999-02-26 Fujitsu Ltd 低誘電率絶縁膜とその形成方法、及びそれを用いた半導体装置
JPH11199778A (ja) * 1997-12-29 1999-07-27 Dow Corning Toray Silicone Co Ltd 硬化性シルフェニレン系ポリマー組成物
JPH11214382A (ja) * 1998-01-29 1999-08-06 Fujitsu Ltd 低誘電率絶縁材料、実装回路基板、及び、電気的固体装置
JPH11233500A (ja) 1998-02-13 1999-08-27 Matsushita Electric Ind Co Ltd 絶縁膜の形成方法及びそれを用いた半導体装置と半導体装置製造方法
JP3559441B2 (ja) * 1998-03-05 2004-09-02 テルモ株式会社 チューブユニットシステム
JP3362675B2 (ja) * 1998-09-08 2003-01-07 日本電気株式会社 半導体装置及びその製造方法
JP4528377B2 (ja) * 1998-12-25 2010-08-18 富士通株式会社 多孔性ポリマー薄膜及び半導体装置
JP2000273176A (ja) * 1999-03-26 2000-10-03 Fujitsu Ltd 絶縁膜形成方法及び半導体装置
US6204202B1 (en) * 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
EP1050601A1 (en) 1999-05-04 2000-11-08 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP3483500B2 (ja) * 1999-05-28 2004-01-06 富士通株式会社 絶縁膜形成材料、絶縁膜形成方法及び半導体装置
US6225238B1 (en) * 1999-06-07 2001-05-01 Allied Signal Inc Low dielectric constant polyorganosilicon coatings generated from polycarbosilanes
JP2001002989A (ja) * 1999-06-21 2001-01-09 Jsr Corp 膜形成用組成物、膜の形成方法および低密度膜
KR20000030069A (ko) 1999-08-21 2000-06-05 이정욱 자외선 감지소자
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
JP2001098224A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
US6171687B1 (en) * 1999-10-18 2001-01-09 Honeywell International Inc. Infiltrated nanoporous materials and methods of producing same
JP4756526B2 (ja) * 1999-10-25 2011-08-24 富士通株式会社 多孔質化低誘電率絶縁膜の形成方法及び該方法で形成された多孔質化低誘電率絶縁膜及び該多孔質化低誘電率絶縁膜を用いた半導体装置
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP4507377B2 (ja) * 2000-09-25 2010-07-21 Jsr株式会社 ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
JP2002167438A (ja) * 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
JP3840127B2 (ja) * 2001-03-27 2006-11-01 独立行政法人産業技術総合研究所 低誘電率ボラジン−ケイ素系高分子からなる層間絶縁膜及びこれにより構成された半導体装置
JP2002363286A (ja) * 2001-06-11 2002-12-18 Jsr Corp シリカ膜の形成方法、シリカ膜、絶縁膜および半導体装置
JP3886779B2 (ja) * 2001-11-02 2007-02-28 富士通株式会社 絶縁膜形成用材料及び絶縁膜の形成方法
US6786498B1 (en) * 2003-04-28 2004-09-07 Giant Manufacturing Co., Ltd. Shock absorbing device for a bicycle

Also Published As

Publication number Publication date
KR20020075191A (ko) 2002-10-04
JP2002284998A (ja) 2002-10-03
CN1376740A (zh) 2002-10-30
DE10154771B4 (de) 2013-02-14
KR100726269B1 (ko) 2007-06-08
US20040180188A1 (en) 2004-09-16
DE10164943B4 (de) 2009-02-26
DE10154771A1 (de) 2002-10-10
CN1220731C (zh) 2005-09-28
US7358299B2 (en) 2008-04-15
JP4545973B2 (ja) 2010-09-15
US6780498B2 (en) 2004-08-24
US20030003288A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
TWI238844B (en) Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film
US6613834B2 (en) Low dielectric constant film material, film and semiconductor device using such material
TW388099B (en) Integrated circuit dielectrics
US20070037411A1 (en) Method of manufacturing an electronic device
US5656555A (en) Modified hydrogen silsesquioxane spin-on glass
KR20010051102A (ko) 초저 유전 상수를 갖는 박막용 캡핑층
KR20010074860A (ko) 실란계 나노다공성 실리카 박막 및 그 제조방법
JP2003508895A (ja) Ulsi用途のためにシロキサンポリマーで処理されたナノポーラスシリカ
US6809041B2 (en) Low dielectric constant films derived by sol-gel processing of a hyperbranched polycarbosilane
TW594879B (en) Process for optimizing mechanical strength of nanoporous silica
US6974762B2 (en) Adhesion of carbon doped oxides by silanization
TW200409738A (en) Low dielectric constant material and method of processing by CVD
JP4493278B2 (ja) 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法
JP2004259753A (ja) 半導体装置およびその製造方法
JP3169565B2 (ja) 低誘電率絶縁膜およびその形成方法、ならびに半導体装置およびその製造方法
JP4257272B2 (ja) 半導体装置及びその製造方法
TWI297511B (en) Electronic device and composition
JP6728252B2 (ja) 半導体装置及びその製造方法
JP2004281535A (ja) 被膜形成材料、絶縁膜の製造方法及び半導体装置
JP2006261268A (ja) 半導体装置及びその製造方法
JP2004186593A (ja) 低誘電率絶縁膜及びその製造方法並びに半導体装置
JP2004238470A (ja) 多孔性被膜形成用塗布液、絶縁膜及びその製造方法ならびに半導体装置