TW520553B - Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module - Google Patents

Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module Download PDF

Info

Publication number
TW520553B
TW520553B TW090127753A TW90127753A TW520553B TW 520553 B TW520553 B TW 520553B TW 090127753 A TW090127753 A TW 090127753A TW 90127753 A TW90127753 A TW 90127753A TW 520553 B TW520553 B TW 520553B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
semiconductor substrate
seem
substrate
Prior art date
Application number
TW090127753A
Other languages
English (en)
Inventor
Seung-Pil Chung
Kyeong-Koo Chi
Ji-Soo Kim
Chang-Woong Chu
Sang-Hun Seo
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW520553B publication Critical patent/TW520553B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

520553 A7
裝 訂
發明説明(2 ) 何在界定接觸孔底部的表面受損後之栓塞植入法。此外, 亦已知一種除去在接觸孔底部的受損層之方法。 圖1顯示一種自形成接觸孔時至形成上導電或半導體斧 時的習用製造方法。參照圖1,接觸孔係藉電漿乾燥蚀刻 裝置(S10)形成。通常,接觸孔係藉形成絕緣層在半導體基 材或其他特定底層(或”底部層")上,使用光刻技術形成光 阻圖案在絕緣層上及使用光阻圖案作爲蝕刻罩蝕刻絕緣層 形成。 其次’半導體基材移動至灰磨裝置,光阻圖案在其上灰 磨及除去(S12)。然後,實施光阻(PR)帶法(S14)。在此法中 ,半導體基材係自灰磨裝置轉移至填滿硫酸的濕桶以除去 未由灰磨法除去的光阻圖案的殘餘物。 其次,實施殘餘物加工法以藉電漿乾燥蝕刻法除去形成 在半導體基材的表面或在界定接觸孔(S16)底部特定底層表 面的受損層。使用低偏壓條件與CF4或氧氣實施殘餘2加 工法。其次,在濕桶(S18)内實施預處理清潔法以除去另一 由殘餘物加工法形成的受損層並自接觸孔除去任何殘餘污 染物如碳。 隨後,預加工半導體基材轉移至沉積裝置,上層形成於 其上以填充接觸孔(S20)。 、 然而’先行製造方法卻具有下面問題。 首先,光阻(PR)帶法需要較長加工時間而增加半導體基 材:染的可能性,因爲PR帶法在填滿硫酸的濕桶内實施。土 罘二’由接觸孔暴露的表面會被乾燥蝕刻裝置進行的殘 3 五、發明説明( 餘物加工法損害。然而,即使受損層可藉實施預處理清潔 法於濕桶内除去,界定接觸孔侧璧的各層蝕刻速率亦會隨 用於預處理清潔法的清潔溶液改變。因此,界定接觸孔的 侧璧變成不平坦而形成在半導體基材上的圖案由於過度蚀 刻而劣化。 第一,即使在實施預處理清潔法後,當半導體基材轉移 、貞裝置時,新天然氧化物膜亦會形成在界定接觸孔底 部的表面上。天然氧化物膜會妨礙界定接觸孔底部的下層 表面與沉積法形成的上層間達成之良好接觸。 罘很難整合各種方法,目爲乾㈣刻與沉積法通常 在日田圓上實施一次,而具有使用濕桶特性的光阻p &夢 、、:、預處理潔法爲分批法。因&,半導體基材必須透過 刀離加工裝置轉移,而使基材更容易污染。因此,雖钬花 費許多加工時間,許多裝置仍會具有較劣電性。 本發明的目的爲解決上述先行技藝的問題。 觸 短 明確而言,本發明的目的爲提供一種形成半導體裝置 二Γί,其中形成良好接觸所需的預處理法可在相當 時間内實施。 、、貧㈣另-目的爲提供一種形成半導體裝置觸點 、、/、中形成良好接觸所需的-預處理法可實施而半導 材不會在方法間之暫時被污染。 、馬了達成此等目W,本發明提供一種方法,其中自 本纸張尺度適用中而 接觸孔時至_充接觸孔時的預處理法係使用電漿實施^ 一 ----' 520553 A7
接觸孔係使用光阻圖案作爲蝕刻罩形成,其中暴露包本 矽的底材表面。隨後,半導體基材裝入具有一個或以上^ 過可保持眞空的構件互相連接之電漿預處理與沉積模組的 集束裝置内。在第-預處理過程中,絲圖案係藉灰磨除 去。在第二預處理過程中,除去在由接觸孔暴露的表面的 受損層。在第三預處理過程中,清潔半導體基材。然後, 半導體基材轉移至沉積模組而基材保持於眞空内。薄膜形 成在基材上以%充接觸孔,因而與底層成立電接觸。 底層可爲矽、聚矽或矽化物層。填充接觸孔形成的上層 爲導電膜如由聚矽層與金屬層形成。 電漿預處理模組設計成使用微波產生遙控電漿。在此方 面,灰磨光阻圖案的過程係使用呈電漿狀態之氮氣與氧氣 ,接著施加UV光線實施。除去受損層的過程係使用呈電 漿狀態之氮氣與氧氣及呈電漿狀態或正常氣體狀態之氟基 底氣體實施。此外,清潔過程包括使用呈電t狀態之氣氣 與氟基底氣體以造成與氧化物層在暴露在接觸孔底部的底 層表面上的化學反應,藉以形成反應層,及退火反應層汽 化之。 根據本發明,灰磨法、殘餘物加工法及預處理清潔法可 在集束裝置的電漿預處理模組内連續地實施。因此,預處 理加工時間可降至最低。此外,污染物被防止堆積於接觸 孔内,相對照於其中半導體基材在各種先行加工裝置中轉 移的情況。 此外,由接觸孔暴露的沉積材料與表面互相良好接觸,
520553
因馬眞空保持在電漿預處理模組與沉積模組之間以防止在 實施預處理清潔法後天然氧化物膜生長在暴露表面上。 p付圖的簡述 本發明的上述及其他目的、特性與優點參照附圖以及其 較佳具體例的下列詳述當可更佳明白,其中: 圖1爲半導體裝置製程中形成觸點的習知方法的方塊圖; 圖2爲形成根據本發明半導體裝置觸點的方法的方塊圖; 圖3爲在根據本發明半導體裝置製程中形成觸點的裝置 的概略平面圖; 圖4爲圖3所示裝置的電漿預處理模組第一具體例的概略 圖表; 圖5爲電漿預處理模組另一具體例的概略圖表;及 圖6至10爲顯示形成根據本發明觸點方法的基材的截面 圖。 較佳具體例的詳細發昍 本發明現在參照附圖進一步詳述。但是應知當一層被説 明爲在另一層或基材"上”時,該説明意旨所述層可直接配 置在其他層或基材上,或***層可插於其間。 圖2顯示構成形成根據本發明觸點方法的基本過程。簡 s之’在使用乾燥蚀刻裝置形成接觸孔後(步驟S40),灰 磨過程(步驟S42)、殘餘物加工過程(步驟S44)及預處理過 程(步驟S46)皆在預處理模組内實施。隨後,上層形成於 沉積模組内(步驟S48)。 然而’在詳述形成根據本發明觸點的方法以前,將説明 - ~ 8 - 本紙浪尺度適用中國國家標準(CNS) A4規格(21GX297公釐) " -----
裝 訂
520553 A7 B7 五、發明説明(6 ) 執行方法的裝置。現在參照圖3,裝置包括一組各個加工 模組。保持均勻眞空的轉移模組100配置於裝置的中間。 裝卸模組110、120、冷卻模組130、預處理模組140、150及 160以及沉積模組170、180環繞轉移模組100排列。 轉移裝置(圖未示)設於轉移模組100之中心。晶圓係藉 轉移裝置自裝載模組110轉移至特定預處理模組140、150或 160。在實施預處理過程後,晶圓係透過保持眞空的轉移 模組100自預處理模組轉移至沉積模組170或180。填充接觸 孔的上層形成在沉積模組内晶圓上。在最後預處理過程後 ,若晶圓的溫度太高時,晶圓可在形成上層前轉移至冷卻 模組130。冷卻模組130在沉積過程後但是在晶圓轉移至卸 下模組120前亦可用以冷卻晶圓至適當溫度。雖然三種預 處理模組與二種沉積模組示於圖3,必要時,裝置亦可具 有其他數目的預處理及沉積模組。 圖4顯示一種包含遙控電漿產生裝置的預處理模組的具 體例。該遙控電漿產生裝置揭示於韓國專利申請案99-46365號。 參照圖4,預處理模組包含一眞空室10、一構成遙控電 漿產生裝置供激起反應氣體進入電漿内並轉移呈電漿狀態 的反應氣體至眞空室10之微波導件44、一氣體擴散器、一 實施退火過程於相同室内之加_熱器54及一定位晶圓於眞空 室10内之感受器12。 明確而言,其上安裝有晶圓14的感受器12配置在眞空室 10的中心。感受器12可藉由軸20及馬達22自眞空室10的下 -9- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 520553 A7 B7 五、發明説明(7 ) 部移至眞空室10的上部,反之亦然(如箭頭:D所示)。晶圓 14的溫度係由感受器12的溫度所控制。冷卻線16a透過供應 冷卻水或冷卻氣體的感受器12延伸以控制感受器12進而晶 圓14的溫度以便確保過程的再製性。自冷卻水或冷卻氣體 供應裝置18供應冷卻水或冷卻氣體的第一管道16連接至冷 卻線16a。 反應氣體透過氣體擴散器供應至眞空室10。氣體擴散器 包含一自延伸眞空室10外側的第二與第三管道32、34接收 反應器體的預備室50,以及連接至預備室50底部供均勻分 佈氣體遍佈眞空室10的多孔板52。第二管道32用來供應呈 電漿狀態的氣體。氫氣體供應源(標示爲"H2”)與氟基底氣 體供應源(標示爲nNF3n )連接至第二管道32的一端。轉換閥 36、38及控制氣體量的質量流動控制器(MFC) 40、42分別靠 近氫氣體供應源與氟基底氣體供應源安裝。自氟基底氣體 供應源與氫氣體供應源的氣體分別通過轉換閥36、38及質 量流動控制器40、42到達其上激起氣體的微波導件44。第 三管道34用來供應天然氟基底氣體。氟基底氣體供應源( 標示爲” NF3n )連接至第三管道34之一端。轉換閥46及MFC 48安裝於靠近氟基底氣體供應源的第三管道34内。 然而,用於本發明方法的源氣體不限於氫(H2)與氟基底 (NF3)氣體。例如,氧氣(02)、_氮氣(N2)及氬氣(Ar)亦可用 作源氣體。 排氣口 24設在眞空室10的底部供排除眞空室10的氣體以 便保持眞空室10内正常眞空準位。第四管道26連接至排氣 -10- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
520553 A7 B7 五、發明説明(8 ) 口 24,而轉換閥28及眞空泵30安裝於第四管道26内。 眞空室内側的壓力由安裝於眞空室10的底部的靈敏閥( 圖未示)自動控制。供晶圓14退火的加熱器54插在預備室50 頂部與眞空室10的頂部之間。加熱器54可爲紫外線(UV)燈 或雷射。 圖5概略顯示預處理模組的另一具體例。此具體例的預 處理模組包含一眞空室60、一構成激起反應氣體進入電漿 内及轉移呈電漿狀態的反應器體至眞空室60之遙控電漿產 生裝置的微波導件90、一氣體擴散器。在室60内實施退火 過程的UV燈78及一支持晶圓64的感受器62。 眞空室60的内璧塗佈有陽極化膜以防止内璧被氟離子腐 蝕。眞空室60的側璧設有加熱器96。眞空室的上璧76成圓 頂狀。明確而言,上璧包含一整合UV燈78的光線固定單元 76及一延伸在UV燈78下方由藍寶石製成的透明窗74。 其上安裝有晶圓64的感受器62配置於眞空室60下部的中 心。移動晶圓64上、下的起模針72延伸過感受器62。起模 針72安裝至上、下驅動的針固持器70。晶圓64的溫度係由 感受器62的溫度所控制。冷卻線延伸過供應冷卻水或冷卻 氣體的感受器62以控制感受器62進而晶圓64的溫度以便確 保過程的再製性。自冷卻水或冷卻氣體供應裝置68供應冷 卻水或冷卻氣體的第一管道66連接至冷卻線。 反應氣體透過氣體擴散器供應至眞空室60内。氣體擴散 器包含一自延伸眞空室60外側的第二管道98接收反應氣體 的預備室80以及一連接至預備室80底部供均勻分佈氣體遍 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂 520553 A7 B7 五、發明説明(10 ) 參照圖8,對蝕刻停止層212具有蝕刻選擇率的氧化矽夾 層介電膜214形成至預定厚度。其次,接觸孔係使用乾燥 蝕刻裝置形成於夾層介電膜214内(步驟S40)。明確而言, 接觸孔係藉SAC過程所形成。 在此方面,光組圖案216形成於預處理模組内。光組圖 案用以形成對應於閘極電極圖案間空間寬度的接觸孔。閘 極電極圖案間之空間越小,使用典型光刻過程形成光阻圖 案變成越困難,因爲過程的解析度方面的固有限制。因此 ,設計光阻圖案以形成大於閘極電極圖案間空間寬度的接 觸孔。夾層介電膜214係使用光阻圖案作爲蚀刻罩各向異 性地蚀刻,因而接觸孔係藉各閘極電極圖案的罩層208與 隔件210而自行對準。當蝕刻夾層介電膜214時,蝕刻停止 層212暴露在閘極電極圖案之間。 參照圖9,閘極電極圖案間之矽基材200係在不同於蝕刻 夬層介電膜214的蚀刻條件下藉蝕刻蚀刻停止層212暴露。 因此,形成接觸孔。此時,受損層218係在閘極電極圖案 間暴露矽基材200的表面產生。此外,各種污染物留在閘 極電極圖案間暴露矽基材200的表面上。 在形成接觸孔的矽基材200轉移至圖4或5所示的預處理 模組後,按序實施灰磨過程S42、殘餘物加工過程S44及預 處理清潔過程S46。現在説明在此等過程中預處理模組通 行的條件。 首先,實施除去光阻圖案216的灰磨過程。在此過程中 ,UV燈發出具有波長爲200-500 nm的UV光線並在電力爲 -13 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 520553 A7 B7 五、發明説明(Μ ) 300-1,000 W下操作。微波導件的電力爲500-2,000 W。預處 理模組内的壓力爲0.1-10 Torr。矽基材200的溫度爲25-300 °C。灰磨過程係在此等條件下藉速率分別爲10-2,000 seem 及K)-2,000 seem下供應至呈電漿狀態的模組内的氮氣與氧 氣實施。須知,光阻圖案係藉UV光線較習知灰磨過程所用 的手段更有效地除去。因此,不需要附加帶狀過程。 其次,除去受損層218的殘餘物加工過程係藉供應氮氣 及氧氣於呈電漿狀態的模組内並藉供應氟基底氣體於模組 内實施。在此過程中,微波導件的電力爲500-2,000 W。預 處理模組内的壓力爲0.1-10 Torr。矽基材200的溫度爲5 - 300 °C。氮氣作爲反應氣體係在速率爲10-2,000 seem,氧氣在 速率爲10 _ 2,000 seem及氟基底氣體(NF3)在速率爲30-300 seem下供應。 使用電漿除去受損層在底層中較當使用習知殘餘物加工 過程時產生較低損害。此外,矽基材200的暴露表面不會 被碳污染,因爲使用NF3,相對照於CF基底氣體。 最後,以二個步驟,即,化學反應步驟與退火步驟,實 施預處理清潔過程。化學反應步驟涉及供應氫與氟基底氣 體於模組内,化學反應二種氣體與形成在界定接觸孔底部 的矽基材200表面上的氧化物膜以形成反應層。退火步驟 除去如此形成的反應層。 — 其次,説明形成反應層的步驟中特定條件。微波導件的 電力爲500-2,000 W。電漿預處理模組内的壓力爲1 - 10 Ton* 。秒基材的溫度爲0-50°C。氮氣作爲反應氣體係在速率爲 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
520553 A7 B7 五、發明説明(12 ) 10-2,000 seem,氫氣在速率為5-200 seem及NF3在速率為10-300 seem下供應。因此,天然氧化物膜變形成例如(NH4)2SiFd$ 反應層。該反應層可被汽化而除去。 氟基底氣體可呈電漿狀態或正常氣體狀態供應。NF3、 SF6或CIF3可用作用過的氟基底氣體。在較佳具體例中,使 用NF3。氫供應於呈電漿狀態的模組·内。當呈電漿狀態供應 氫與氟基底氣體時,NF3氣體對氫電衆的比率設定為例如 0.1 - 100,而混合物與氧化物膜(其為Si02)化學反應。形成 反應的副產物,即,(NH4 )2SiF6,其中混合物與氧化物膜配 合。一旦反應層達到某種厚度時,反應層作為障壁層操作 有關化學反應。因此,化學反應停止。在供應氣體與氧化 物膜間的化學反應停止後,實施退火過程,藉以反應層被 汽化並排放至模組外側。最後,退火過程較佳在100- 5 00 °C 下實施20-600秒。在除去污染物如天然氧化物及表面氧化 物後,與氫原子組合的純化層形成在暴露表面上。因此, 可防止半導體基材的表面被再氧化。 若欲除去的氧化物膜與天然氧化物膜一樣薄時,氧化物 膜可在預處理清潔過程的一循環中除去。然而,若保證欲 除去氧化物膜的厚度時,可重複實施預處理清潔過程的二 個步驟。 此外,在預處理清潔過程中,化學反應步驟與退火步驟 按序於預處理模組内實施。當使用圖4的預處理模組時, 當半導體基材定位於真空室10的下部時可實施化學反應步 驟,而當半導體基材定位於配置UV燈54的真空室10的上部 時則可實施退火步驟。 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 520553 A7 B7 五、發明説明(13 ) :--—~—— 參照圖10,已進行預處理清潔過程的矽基材2〇〇係自預 處理模組140、150或16〇(圖3 )透過轉移膜1〇〇而在眞空内轉 移至圖3的沉積模組17〇或18〇。然後形成後續(上)層22〇。 各種導電金屬模皆可用作上層220。 熟習此技藝者當可明白,本發明比較先行技藝時可減少 加工時間及製造成本,因爲⑴灰磨過程、殘餘物加工過程 及預處理清潔過程係使用電漿按序實施於預處理模組内, 及(2)不而要pr帶狀過程,因爲光阻劑反而藉使用氧電漿與 UV光線進行的灰磨過程有效地除去。 第二,本發明可用以製造具有改良電特性的半導體裝置 ,因爲氟基底氣體與氧氣取代含碳的氣體用以除去在接觸 孔底部的受損層。即,本發明的殘餘物加工過程對暴露在 接觸孔底邵的層任何進一步損害降至最小且其上不會留下 任何碳污染。 第三,使用本發明不會退化接觸孔的輪廓或留下水污點 ,因爲使用電漿乾燥蝕刻取代習用濕蝕刻實施預處理過程。 第四,本發明使基材的污染降至最低並防止在二個過程 之間天然氧化物膜被再生長,因爲預處理模組與沉積膜組 會集束並透過可保持於眞空内的手段連接。 雖然本發明已特別顯示並參照閘極電極圖案間sac的形 成説明,熟習此技藝者當可明_白,本發明不受限制並可應 用於製造半導體裝置各種其他方法,如形成金屬及位元線 觸點的万法。此外,本發明可應用於形成配置在含有矽底 層上的夾層内接觸孔,例如,關於暴露聚矽層或矽化物層 1^ __ -16· 本紙張尺度適用中g @家標準(CNS) A4規格(210X297公釐) 一一 -- 520553 A7 B7 五、發明説明(14 ) 的接觸孔的形成。因此,所有該在所附申請專利範圍的範 圍内之應用被視爲在本發明的眞正精神内。 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 520553 A8 B8 C8 D8 ~、申請專利範圍 1. 一種製造半導體裝置之一觸點之方法,包括步驟爲: 提供一種半導體基材,其上有一夾層形成在一含矽的 底層上; 使用一光阻圖案作爲一姓刻罩形成一接觸孔於該夾層 内’其中該底層的一表面暴露在該接觸孔的底部; 將該半導體基材裝入具有互相連接的一電漿預處理模 組與一沉積模組的裝置内; 將該半導體基材轉移至該電漿預處理模組; 灰磨該電漿預處理模組内的光阻圖案以除去該光阻圖 案; 隨後除去在該電漿預處理模組内定義該接觸孔底部的 底層表面的一受損層; 隨後清潔該電漿預處理模組内的半導體基材; 隨後轉移在眞空中之半導體基材至該沉積模組;及 沉積材料在該沉積模組内填充接觸孔的基材上。 2 ·如申請專利範圍第1項的方法,其中該灰磨、受損層之 去除及預清潔各包括使用微波激起氣體在預處理模組 外側’以謗發一電漿狀態,及指引呈電漿狀態之氣體 朝向該預處理模組内的基材。 3.如申請專利範圍第2項的方法,其中該灰磨包括在該預 處理模組内加熱該基材。 一 4 ·如申请專利範圍第3項的方法,其中該加熱包括在該預 處理模組内產生紫外(UV)光線。 --------- - 18 - 尽紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閎讀背面之注意事項再填寫本頁) 4 訂 經濟部中央榡準局員工消費合作衽印製 520553 A8 B8 C8 D8 六、申請專利範圍 5 .如申請專利範圍第4項的方法,其中該灰磨包括使用微 波激起在預處理模組外側之氮氣與氧氣,以謗發該電 漿狀態,及供應呈電漿狀態的氮氣與氧氣朝向該預處 理模組内的基材。 6 .如申請專利範圍第5項的方法,其中該灰磨包括以10-2,000 seem的速率供應氮氣,且以10-2,000 seem的速率供 應氧氣作爲反應氣體,用500-2,000 W的微波電力激起氣 體,在該電漿預處理模組内保持屢力0.1-10 Torr,調節 該基材的溫度於25-300°C及在電力爲300-1,000 W下操作 UV燈以供應UV光線。 7 .如申請專利範圍第1項的方法,其中該受損層之去除包 括激起在預處理模組外側之氮氣與氧氣,使用微波以 謗發電漿狀態,及供應呈電漿狀態的氮氣與氧氣及一 氟基底氣體朝向該預處理模組内的基材。 8 .如申請專利範圍第7項的方法,其中該氟基底氣體爲 NF3。 9 .如申請專利範圍第8項的方法,其中該受損層之去除包 括在以10-2,000 seem之速率供應氛氣,以10- 2,000 seem之 速率供應氧氣及30-300 seem之速率供應NF3氣作爲反應 氣體,用500-2,000 W之微波電力激起氮氣與氧氣,在 電漿預處理模組内保持壓力0.1-10 Torr及調節半導體基 材的溫度至5 - 300°C。 10.如申請專利範圍第1項的方法,其中該清潔包括: -19 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 線_卜 經濟部中央標準局員工消費合作社印製 六、申請專利範圍 •供應呈一電漿狀態的氫氣及一氟基底氣體至該基材上 以造成一化學反應發生在含石夕底層上的氧化物層,藉 以形成一反應層,以及退火反應層以汽化之。 11. 如申請專利範圍第10項的方法,其中該氟基底氣體呈 氣體狀態供應。 12. 如申請專利範圍第10項的方法,其中該氟基底氣體的 供應包括使用微波激起在預處理模組外側之氟基底氣 體,以謗發一電漿狀態,及指引呈電漿狀態的氟基底 氣體至基材上。 13. 如申請專利範圍第10項的方法,其中該氟基底氣體係 選自NF3、SF6& CIF3所組成之群。 14. 如申請專利範圍第10項的方法,其中該清潔包括以10-2,000 seem之速率供應氣氣,以5-200 seem之速率供應氧 氣及以10-300 seem之速率供應氟基底氣體作爲反應氣體 ,用500-2,000 W之微波電力激起氣體,在電漿預處理 模組内保持壓力爲0.1-10 Ton*及調節半導體基材的溫度 至 0-50°C。 15. 如申請專利範圍第10項的方法,其中該清潔包括定位 半導體基材於預處理模組的一下部而供應氣體以造成 該化學反應,及定位半導體基材於預處理模組的一上 部而實施退火。 16. 如申請專利範圍第10項的方法,其中該退火包括加熱 半導體裝置至100-50(TC範圍内。 -20- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 17. —種製造半導體裝置觸點的方法,包括步驟爲: 提供一種半導體基材,其上有一夾層形成在一含矽的 底層上; 使用一光阻圖案作爲一蝕刻罩形成一接觸孔於夾層内 ,其中底層的一表面暴露在接觸孔的底部; 使用電漿及UV光線灰磨光阻圖案以除去一電漿預處 理加工室内的光阻圖案; 隨後使用一電漿及一氟基底氣體除去在一電漿預處理 加工室内定義接觸孔底部的底層表面的一受損層,藉 以形成氧化物在該表面; 隨後清潔半導體基材以除去一電漿預處理加工室内該 氧化物,該清潔包括指引與氧化物在表面上進行化學 反應的一電漿以形成一反應層,及退火基材以汽化反 應層; 隨後轉移在一眞空中半導體基材至一沉積裝置;及 沉積材料在沉積裝置中填充接觸孔的基材上。 18. 如申請專利範圍第17項的方法,其中該灰磨、受損層 之去除及清潔各包括使用微波激起氣體。 19. 如申請專利範圍第17項的方法,其中該灰磨包括使用 微波激起氮氣及氧氣以謗發一電漿狀態。 20. 如申請專利範圍第19項的方灰,其中該灰磨包括以10-2,000 seem之速率供應氣氣而以10-2,000 seem之速率供應 氧氣作爲反應氣體,用500-2,000 W微波電力激起氣體, -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 六、申請專利範圍 在實施灰磨過程的電漿預處理加工室内保持壓力爲 0.1-10 Torr,調節基材的溫度至25-300°C及在電力爲300-1,000W下操作UV燈以供應UV光線。 21. 如申請專利範圍第17項的方法,其中該氟基底氣體爲 NF3 0 22. 如申請專利範圍第21項的方法,其中該受損層之去除 包括以10-2,000 seem之速率供應氮氣,以10- 2,000 seem 之速率供應氧氣及以30-300 seem之速率供應NF3氣作爲反 應氣體,用500-2,000 W之微波電力激起氮氣與氧氣, 在實施該受損層之去除的預處理加工室内保持壓力爲 0.1-10 Ton*及調節半導體基材的溫度至5-300°C。 23. 如申請專利範圍第17項的方法,其中該清潔包括: 供應呈一電漿狀態的氫氣及氮氣與一氟基底氣體至基材 上。 24. 如申請專利範圍第23項的方法,其中該氟基底氣體呈 一氣體狀態供應。 25. 如申請專利範圍第24項的方法,其中該氟基底氣體的供 應包括使用微波激起氟基底氣體以謗發一電漿狀態。 26. 如申請專利範圍第25項的方法,其中該氟基底氣體係 選自NF3、SF6& CIF3所組成之群。 27. 如申請專利範圍第23項的方_法,其中該清潔包括以10-2,000 seem之速率供應氮氣,以5-200 seem之速率供應氫 氣及以10-300 seem之速率供應氟基底氣體作爲反應氣體 -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 520553 A B c D 六、申請專利範圍 •,用500-2,000 W之微波電力激起氣體,在實施清潔的 預處理加工室内保持壓力爲0.1-10 Torr及調節半導體基 材的溫度至0-50°C。 28. 如申請專利範圍第17項的方法,其中該清潔包括定位 半導體基材於預處理加工室的一下部而造成該化學反 應發生,及定位半導體基材於預處理加工室的一上部 而實施退火。 29. 如申請專利範圍第17項的方法,其中該退火包括加熱 半導體基材至100-500 °C範圍内。 -23 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
TW090127753A 2000-11-24 2001-11-08 Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module TW520553B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0070491A KR100382725B1 (ko) 2000-11-24 2000-11-24 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법

Publications (1)

Publication Number Publication Date
TW520553B true TW520553B (en) 2003-02-11

Family

ID=19701322

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090127753A TW520553B (en) 2000-11-24 2001-11-08 Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module

Country Status (6)

Country Link
US (1) US6767834B2 (zh)
JP (1) JP2002222861A (zh)
KR (1) KR100382725B1 (zh)
CN (1) CN1187796C (zh)
DE (1) DE10157223B4 (zh)
TW (1) TW520553B (zh)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
KR100524197B1 (ko) 2003-04-29 2005-10-27 삼성전자주식회사 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100968567B1 (ko) * 2003-07-22 2010-07-08 삼성전자주식회사 다층 박막의 제조 방법 및 이를 이용한 박막 트랜지스터표시판의 제조 방법
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
KR101050943B1 (ko) * 2004-01-09 2011-07-20 매그나칩 반도체 유한회사 반도체 장치의 금속배선 형성 방법
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7351663B1 (en) * 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
JP4032058B2 (ja) * 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060105561A1 (en) * 2004-11-15 2006-05-18 Yunjun Huh Method of manufacturing a self-aligned contact structure
US7162317B2 (en) * 2005-02-25 2007-01-09 Lam Research Corporation Methods and apparatus for configuring plasma cluster tools
KR100780045B1 (ko) * 2006-03-06 2007-11-27 (주) 디오브이 유기전계 발광소자 플라즈마 전처리 챔버
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
CN101004559B (zh) * 2006-12-21 2012-01-11 上海集成电路研发中心有限公司 一种增强光刻工艺中图形黏附力的方法
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013134127A1 (en) * 2012-03-05 2013-09-12 First Solar, Inc. Method and apparatus for forming a transparent conductive oxide using hydrogen
CN103456676A (zh) * 2012-05-31 2013-12-18 无锡华润上华科技有限公司 接触孔硅凹槽蚀刻方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6163442B2 (ja) * 2014-03-05 2017-07-12 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102570269B1 (ko) * 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
KR101868478B1 (ko) 2016-08-17 2018-07-23 주식회사 아이씨케이 비접촉식 카드 기능을 갖는 메탈 카드
KR102005042B1 (ko) 2016-08-17 2019-07-29 주식회사 아이씨케이 강화된 강도를 갖는 비접촉식 카드 기능을 갖는 메탈 카드 및 그에 사용되는 금속판 어셈블리, 그리고 그들의 제조 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109979806B (zh) * 2017-12-26 2021-04-30 中国科学院苏州纳米技术与纳米仿生研究所 兼容真空环境的图形转移的方法及***
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200144186A (ko) 2019-06-17 2020-12-29 유인종 페라이트를 이용한 비접촉식 메탈 카드용 인레이 제조방법 및 카드 제조 방법
KR20200145883A (ko) 2019-06-19 2020-12-31 유인종 양면 이용 가능한 메탈카드용 미니인레이 및 이를 이용한 메탈카드
KR102308861B1 (ko) 2019-11-28 2021-10-06 유인종 비접촉식 메탈카드 및 그 제조방법
CN113053744B (zh) * 2019-12-27 2024-03-22 株式会社日立高新技术 半导体装置的制造方法
KR102669097B1 (ko) 2021-06-17 2024-05-23 아이씨케이 인터내셔널 잉크 비접촉식 카드 기능을 갖는 메탈 카드 및 그 제조방법
KR102613064B1 (ko) 2023-08-14 2023-12-12 주식회사 티아이씨피 듀얼 인터페이스 기능을 갖는 메탈 카드 및 그 제조방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
KR920007124A (ko) * 1990-09-04 1992-04-28 김광호 폴리 에미터 바이폴라 트랜지스터의 제조방법
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH0799178A (ja) * 1993-09-28 1995-04-11 Fujitsu Ltd 半導体装置の製造方法
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
KR19980077122A (ko) * 1997-04-16 1998-11-16 김영환 반도체 장치의 콘택홀 형성 방법
GB9715013D0 (en) * 1997-07-17 1997-09-24 Cambridge Consultants Liquid feed bottle
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6492272B1 (en) * 2001-02-15 2002-12-10 Advanced Micro Devices, Inc. Carrier gas modification for use in plasma ashing of photoresist

Also Published As

Publication number Publication date
US6767834B2 (en) 2004-07-27
KR20020040445A (ko) 2002-05-30
DE10157223B4 (de) 2006-11-16
JP2002222861A (ja) 2002-08-09
CN1187796C (zh) 2005-02-02
DE10157223A1 (de) 2002-06-27
KR100382725B1 (ko) 2003-05-09
CN1356719A (zh) 2002-07-03
US20020064944A1 (en) 2002-05-30

Similar Documents

Publication Publication Date Title
TW520553B (en) Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module
KR102649013B1 (ko) 리소그래피에서 확률적 수율 영향 제거
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
JP4871444B2 (ja) 酸化膜除去法及び酸化膜除去のための半導体製造装置
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
US5385624A (en) Apparatus and method for treating substrates
KR100316721B1 (ko) 실리사이드막을 구비한 반도체소자의 제조방법
KR20140129231A (ko) 원자 층 증착 리소그래피
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
CN103247525A (zh) 用于蚀刻有机硬掩膜的方法
JP5260861B2 (ja) キャパシタ電極の製造方法と製造システムおよび記録媒体
KR100360399B1 (ko) 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
TW201742134A (zh) 基板處理方法
CN115380364A (zh) 使用类金属或者含金属硬掩模的沉积的选择性蚀刻
CN112635317A (zh) 蚀刻方法、损伤层的去除方法和存储介质
KR100481180B1 (ko) 포토레지스트 제거방법
WO2022138655A1 (ja) 基板処理方法及び基板処理装置
JP2004514272A (ja) 基板のクリーニング装置及び方法
KR101096133B1 (ko) 기판 처리방법
KR100593740B1 (ko) 반도체 자연산화막 제거방법
US11404282B2 (en) Method of etching film and plasma processing apparatus
KR19990075646A (ko) 전세정 공정을 수반하는 반도체 장치의 커패시터 형성방법 및이에 이용되는 챔버 장비
JP2012009738A (ja) ドライエッチング方法およびドライエッチング装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees