JP2002222861A - プラズマ前処理モジュールを具備した装置における半導体素子の製造方法 - Google Patents

プラズマ前処理モジュールを具備した装置における半導体素子の製造方法

Info

Publication number
JP2002222861A
JP2002222861A JP2001349915A JP2001349915A JP2002222861A JP 2002222861 A JP2002222861 A JP 2002222861A JP 2001349915 A JP2001349915 A JP 2001349915A JP 2001349915 A JP2001349915 A JP 2001349915A JP 2002222861 A JP2002222861 A JP 2002222861A
Authority
JP
Japan
Prior art keywords
gas
plasma
pretreatment module
semiconductor substrate
plasma pretreatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001349915A
Other languages
English (en)
Inventor
Johitsu Tei
丞 弼 鄭
Kyokyu Chi
京 求 池
Ji-Soo Kim
智 秀 金
Chang-Woong Chu
昌 雄 秋
Sang-Hun Seo
相 勳 徐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002222861A publication Critical patent/JP2002222861A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 半導体基板上にコンタクトホールを形成した
後、後続膜を蒸着するまでの一連の前処理工程をクラス
ター化されたプラズマ装置で行うことによって工程時間
を短縮できる半導体素子の製造方法を提供する。 【解決手段】 フォトレジストパターンを蝕刻マスクと
して使用して半導体基板上に形成されたシリコン含有物
質を露出させるコンタクトホールを形成した後、前記半
導体基板をプラズマ前処理モジュールおよび蒸着モジュ
ールが真空状態で互いに連結される、クラスター装置に
ローディングさせる。次いで、前記半導体基板を前記プ
ラズマ前処理モジュールに移送させた後、前記フォトレ
ジストパターンをアッシングして除去し、前記コンタク
トホール下部の損傷層を除去した後、前記コンタクトホ
ール内に後続膜を蒸着する前に前処理洗浄を行う。次い
で、前記前処理洗浄が行われた前記半導体基板を、真空
を維持しつつ前記蒸着モジュールに移送して前記コンタ
クトホール内に後続膜を蒸着する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はプラズマ前処理モジ
ュールを具備した装置における半導体素子の製造方法に
係り、より詳細にはフォトレジストパターンを用いてコ
ンタクトホールを形成した後、リモートプラズマを用い
てコンタクトホール内に後続膜を蒸着する前に行われる
前処理工程に関する。
【0002】
【従来の技術】高集積半導体素子は、導電層、絶縁層及
び半導体層を反復して積層させ、これらの間を特定のパ
ターンで相互連結させつつ、あらかじめ設計された所定
の集積回路を具現させたものである。したがって、絶縁
層を介在して上下層に存在する導電層または半導体層を
電気的に連結するために、一般に下層に存在する導電層
または半導体層を露出させるコンタクトホールを形成し
た後、このコンタクトホールに導電層を蒸着して埋め立
てた後、基板の全面に上層の導電層を形成する方法を使
用する。
【0003】一般にコンタクトホールはプラズマ乾式蝕
刻方法により形成される。これはプラズマ内の反応性イ
オンを高速でウェーハ方向に加速させてウェーハの膜質
を蝕刻する方法であって、異方性蝕刻工程が必要な半導
体素子の製造工程でほとんど必須的な工程となってい
る。しかし、このプラズマ乾式蝕刻方法によれば、反応
性イオンがウェーハと衝突することによってウェーハの
表面に格子欠陥を、またはコンタクトホールの下部に露
出される他層の表面に損傷層を誘発して電気的性質を変
えるという問題点がある。
【0004】このように劣化されたウェーハの電気的特
性を補償するために、ウェーハに対してアニーリング工
程を追加したり、コンタクトホールを蝕刻した後にコン
タクトホールの内部にプラグインプランテーションを実
施したりする。また、コンタクトホールの下部に残留す
る損傷層を除去するための工程を行う場合もある。
【0005】図1は、コンタクトホールの形成後、後続
膜を蒸着するまでの従来の技術に対するフローチャート
である。図1を参照すれば、プラズマ乾式蝕刻装置でコ
ンタクトホールを形成する(S10)。コンタクトホー
ルの形成過程は、一般に半導体基板または特定の下地層
上に絶縁層を形成させた後、コンタクトホール形成位置
を限定するフォトレジストパターンを写真工程により形
成させた後、フォトレジストパターンを蝕刻マスクとし
て使用して前記絶縁層を蝕刻することによって形成す
る。
【0006】次いで、コンタクトホールが形成された半
導体基板をアッシング装置に移動させた後、フォトレジ
ストパターンをアッシングして除去する(S12)。ア
ッシング工程によっても完全に除去されないフォトレジ
ストパターンを除去するために半導体基板を硫酸が入っ
ている湿式槽に移送させてフォトレジスト(PR)スト
リップ工程を行う(S14)。
【0007】次いで、コンタクトホール下部の露出され
た半導体基板または特定下地層の表面に形成された損傷
層を除去するために残査処理工程を行う(S16)。残
査処理工程は、一般に乾式蝕刻装置で低いバイアス条件
下でCF4ガスおよび酸素ガスを用いて損傷層を除去す
る工程である。しかし、残査処理工程によって露出され
た表面に新しい損傷層が生じるか、または炭素などの汚
染物が残留して、コンタクトホール内に後続膜を蒸着す
る前にこれらを除去するために湿式槽で前処理洗浄を行
う(S18)。次いで、前処理洗浄された半導体基板を
蒸着装置に移送させた後、コンタクトホール内に後続膜
を蒸着する(S20)。
【0008】しかし、前記の従来の技術によれば、次の
ような問題点がある。
【0009】第1に、アッシング装置でフォトレジスト
パターンを除去するためにアッシング工程を行った後に
も残留するフォトレジスト成分を除去するために硫酸が
入っている湿式槽でPRストリップ工程を行うことによ
って、工程時間が非常に長くなり、また湿式ストリップ
工程中に不純物による汚染可能性が増大する。
【0010】第2に、乾式蝕刻装置で残査処理工程を行
った後にもコンタクトホール下部の露出された表面には
新しい損傷層が生じる可能性があり、これを除去するた
めに湿式槽で前処理洗浄を行う。しかし、この時、コン
タクトホールの側壁に露出される多様な層が湿式槽に入
っている洗浄液に対して蝕刻速度が異なるために、コン
タクトホールの側壁に凹凸が生じたり、または過剰蝕刻
により半導体基板上に形成されたパターンの劣化を招い
たりする。
【0011】第3に、湿式槽で前処理洗浄を行った後に
も蒸着装置に半導体基板を移送する過程でコンタクトホ
ール下部の露出された表面に新しい自然酸化膜が形成さ
れて良好なコンタクト形成を妨害する。
【0012】第4に、装備的な側面で乾式蝕刻装置およ
び蒸着装置は一般に枚葉式である一方、フォトレジスト
(PR)ストリップ工程や前処理洗浄工程のための湿式
槽はバッチ式であるために工程のクラスター化が難しい
という問題点がある。したがって、従来には長時間の工
程時間がかかり、それぞれ独立した工程装置の間に半導
体基板を移送するうちに汚染発生源はその分だけ増加し
て半導体素子の特性を低下する要因となる。
【0013】
【発明が解決しようとする課題】本発明の目的は、半導
体基板上にコンタクトを形成した後、後続膜を蒸着する
までの一連の前処理工程をクラスター化されたプラズマ
装置で行うことによって工程時間を短縮できるプラズマ
前処理モジュールを具備した装置における半導体素子の
製造方法を提供することにある。
【0014】本発明の他の目的は、半導体基板上にコン
タクトホールを形成した後、後続膜を蒸着するまでの一
連の前処理工程をクラスター化したプラズマ装置で行う
ことによって設備間の半導体基板の移動中に生じる汚染
や自然酸化膜を抑制して半導体素子の特性および収率を
向上させうるプラズマ前処理モジュールを具備した装置
における半導体素子の製造方法を提供することにある。
【0015】
【課題を解決するための手段】前記目的を達成するため
の、本発明に係るプラズマ前処理モジュールを具備した
装置における半導体素子の製造方法は、フォトレジスト
パターンを蝕刻マスクとして使用して半導体基板上に形
成されたシリコン含有物質を露出させるコンタクトホー
ルを形成した後、前記半導体基板をプラズマ前処理モジ
ュールおよび蒸着モジュールが真空状態で互いに連結さ
れるクラスター装置にローディングさせる。前記半導体
基板を前記プラズマ前処理モジュールに移送させた後、
前記フォトレジストパターンをアッシングして除去し、
前記コンタクトホール下部の損傷層を除去した後、前記
コンタクトホール内に後続膜を蒸着する前に前処理洗浄
を行う。次いで、前記前処理洗浄が行われた前記半導体
基板を、真空を維持しつつ前記蒸着モジュールに移送し
て前記コンタクトホール内に後続膜を蒸着する。
【0016】本発明が適用されうる前記シリコン含有物
質は、シリコン層、ポリシリコン層またはシリサイド層
などシリコンを含む物質であり、前記コンタクトホール
内に蒸着される後続膜はポリシリコン層、金属層などの
導電膜でありうる。
【0017】前記クラスター装置において、前記プラズ
マ前処理モジュールは、リモートマイクロウェーブによ
りリモートプラズマを生じるように設計され、前記プラ
ズマ前処理モジュールには、その内部に熱を供給できる
熱源、望ましくはUVランプが、前記工程が行われる半
導体基板の上側に設けられる。一方、前記プラズマ前処
理モジュールはドーム状の上側壁を具備し、前記UVラ
ンプが前記ドーム状の上側壁の外側に備わり、前記プラ
ズマ前処理モジュールには前記半導体基板を安着できる
サセプタがさらに備わり、前記サセプタは昇降可能であ
るものである。
【0018】前記フォトレジストパターンをアッシング
して除去する段階は、リモートプラズマ状態の窒素ガス
および酸素ガスを供給して行い、前記損傷層を除去する
段階は、リモートプラズマ状態の窒素ガスおよび酸素ガ
スおよび、リモートプラズマ状態または自然ガス状態の
フッ素系ガスを供給して行うことができる。
【0019】一方、前記前処理洗浄する段階は、プラズ
マ状態の水素ガスおよびフッ素系ガスを供給して前記コ
ンタクトホール下部の露出されたシリコン含有物質の表
面に形成された酸化膜と化学的に反応されて反応層を形
成する段階および、前記反応層を気化させて除去できる
ようにアニーリング段階を含むことができる。
【0020】本発明によれば、蒸着モジュールおよびク
ラスター化されたプラズマ前処理モジュールでアッシン
グ工程、残査処理工程および前処理洗浄工程を連続して
行うために工程時間が短縮でき、従来の設備間の半導体
基板の移動によってコンタクトホール内に汚染物がたま
ることを顕著に抑制できる。
【0021】また、プラズマ前処理モジュールとクラス
ター化された蒸着モジュールとの間に不活性雰囲気下の
真空状態が維持されるために前処理洗浄後にコンタクト
ホール下部の表面に自然酸化膜の発生が抑制でき、した
がって、コンタクトホール下部の露出面とのコンタクト
特性が向上した後続膜を蒸着させうる。
【0022】
【発明の実施の形態】以下、添付した図面を参照して本
発明の望ましい実施形態を詳細に説明する。後述される
実施形態は多様な形態に変形でき、本発明の範囲が後述
する実施形態に限定されることではない。本発明の実施
形態は当業者に本発明をより完全に説明するために提供
されるものである。本発明の実施形態を説明する図面に
おいて、ある層や領域の厚さは明細書の明確性のために
誇張されたものである。また、ある層が他の層または基
板の”上部”にあると記載された場合、前記ある層が前
記他の層または基板の上部に直接存在する場合もあり、
その間に第3の層が介在される場合もある。同じ参照番
号は全体的に同じ構成要素を示す。
【0023】図2は、本発明の一実施形態に係る半導体
素子の製造方法を概略的に示すフローチャートであっ
て、特に乾式蝕刻装置でコンタクトホールを形成した
後、コンタクトホール内に後続膜を蒸着するまでの工程
段階を示す概略的なフローチャートである。各工程段階
については後述するが、簡略に説明すれば、乾式蝕刻装
置でコンタクトホールの形成工程を行った後(S4
0)、前処理モジュールでアッシング工程(S42)、
残査処理工程(S44)および前処理洗浄工程(S4
6)を連続して行った後、蒸着モジュールで後続膜蒸着
工程(S48)を順番に行う。
【0024】本発明の製造方法を説明する前に、まず本
発明の製造方法が適用される装置について説明する。図
3は、本発明の一実施形態によって各工程モジュールが
クラスター化された装置を示す図であって、一定の真空
が維持される移転モジュール100が中央に配置され、
これを取り囲んでローディング/アンローディングモジ
ュール110、120、冷却モジュール130、前処理
モジュール140、150、160および蒸着モジュー
ル170、180が放射状に配置されている。
【0025】前記移転モジュール100の中央にはウェ
ーハを所望の位置に移送させうる移送装置(図示せず)
が備わり、外部から前記ローディング/アンローディン
グモジュール110、120内に移送されたウェーハは
前記移送装置により前処理工程が行われる特定前処理モ
ジュール140、150、160に移送された後、本発
明の前処理工程を行った後、真空が維持される移転モジ
ュール100を経て蒸着モジュール170、180に移
送されて後続膜をウェーハ上に蒸着する。また前処理工
程を行った後、ウェーハの温度が高すぎる場合、必要に
応じてウェーハを前記冷却モジュール130に移送させ
た後、後続膜蒸着工程を行う場合もあり、必要に応じて
後続膜蒸着工程が完了した後、外部にウェーハをアンロ
ーディングする前に適切な温度でウェーハを冷却する時
も前記冷却モジュール130を使用できる。たとえば、
図3では前処理モジュール3つ、蒸着モジュール2つよ
り構成されたが、これは一つの例示に過ぎなく、必要に
応じて多様に設計変更可能なのはもちろんである。
【0026】図4は、図3の前処理モジュールの一実施
形態を示す概略図であって、本発明の発明者の一部によ
り発明され、特許出願された韓国特許出願第99−46
365号に開示された半導体素子製造用リモートプラズ
マ乾式装置を示す。
【0027】図4を参照すれば、前記前処理モジュール
は、真空雰囲気で工程を進行できるように構成されてい
る真空チャンバ10と、反応ガスをあらかじめプラズマ
状態に形成した後、前記真空チャンバ10に流入させる
リモート型プラズマ発生装置のマイクロウェーブガイド
44と、予備チャンバ50およびガス拡散器と、アニー
リング工程を同一チャンバ内で連続的に進行できるヒー
ター54と、ウェーハの位置を真空チャンバ10内で調
節できるサセプタ駆動部より構成されている。
【0028】より詳細に説明すれば、図2の半導体素子
の製造過程でコンタクトホールの形成工程を行った後、
前処理モジュールでアッシング、残査処理および前処理
洗浄工程などを行うためのウェーハ14がその上部に搭
載され、サセプタ12は真空チャンバ10の下端中央部
に設けられており、このサセプタ12はモーター22の
作動により昇降シャフト20を通じて真空チャンバ10
の下端部から上端部または上端部から下端部に移動する
(図4の矢印参照)。前記サセプタ12の内部には工程
の再現性確保のためにウェーハ14の温度を容易に制御
できるように冷却水または冷却ガスを供給する冷却ライ
ン16aが設けられており、この冷却ライン16aには
冷却水または冷却ガス供給装置18から冷却水または冷
却ガスを供給する第1パイプ16が連結されている。ウ
ェーハ14の温度は前記サセプタ12の温度により調節
されるが、サセプタ12の温度は冷却ライン16aを通
じて供給される冷却水または冷却ガスの温度により調節
される。
【0029】一方、反応ガスはガス拡散器を通じて真空
チャンバ10の内部に供給されるが、前記ガス拡散器
は、真空チャンバ10の外部に設けられた第2および第
3パイプ32および34から反応ガスを供給される予備
チャンバ50および、前記予備チャンバ50の端部と連
結され、真空チャンバ10の内部全体にわたって均一に
ガスを供給するための多孔板52より構成されている。
第2パイプ32はプラズマに励起された状態でガスを供
給するためのものであって、その一端部には水素ガス供
給ソース(”H2”と表示)およびフッ素系ガス供給ソ
ース(”NF3”と表示)が連結されており、前記水素
ガス供給ソースおよびフッ素系ガス供給ソースの各々に
はスイッチング弁36、38およびガス量を調節するた
めのマスフローコントロール(MFC)40、42が設
けられている。スイッチング弁36、38と第2パイプ
32の他端部との間には水素ガス供給ソースおよび/ま
たはフッ素系ガス供給ソースからスイッチング弁36、
38およびマスフローコントロール40、42を通過し
たガスをプラズマ状態に励起させるプラズマ発生装置と
してのマイクロウェーブガイド44が設けられている。
第3パイプ34は自然状態のフッ素系ガスを供給するた
めのものであって、その一端部にはフッ素系ガス供給ソ
ース(”NF3”と表示)が連結されており、その他端
部と前記ソースとの間にはスイッチング弁46およびマ
スフローコントロール48が連結されている。
【0030】この時、水素ガス供給ソースH2およびフ
ッ素系ガス供給ソースNF3は、ただ水素ガスまたはフ
ッ素系ガスだけを供給するソースとして限定されるより
は、適用する工程によって使用ガスのソースの種類が変
わる場合もあり、必要に応じて酸素O2ガス、窒素N2
スだけでなくアルゴンArガスも追加で供給できる。
【0031】排気口24は真空チャンバ10の下端部に
設けられ、真空チャンバ10を真空状態に維持するため
に真空チャンバ10内部のガスなどの空気を排気する通
路である。前記排気口24には第4パイプ26が連結さ
れており、第4パイプ26にはスイッチング弁28およ
び真空ポンプ30が設けられている。
【0032】一方、真空チャンバ内の圧力は真空チャン
バ10の下端部に設けられたスマート弁(図示せず)に
より自動で調節され、予備チャンバ50と真空チャンバ
10の天井との間にはシリコンウェーハ14をアニーリ
ングするためのヒーター54が設けられている。前記ヒ
ーター54はUVランプまたはレーザーより構成でき
る。
【0033】図5は、図3の前処理モジュールの、他の
実施形態を示す概略図である。図5を参照すれば、前記
前処理モジュールは、真空雰囲気で工程を進行できるよ
うに構成されている真空チャンバ60と、反応ガスをあ
らかじめプラズマ状態に形成した後、前記真空チャンバ
60に流入させるリモート型プラズマ発生装置であるマ
イクロウェーブガイド90と、予備チャンバ80、ガス
拡散器と、アニーリング工程を同一チャンバ内で連続的
に進行するUVランプ78と、ウェーハを安着させるサ
セプタ62とより構成されている。
【0034】前記真空チャンバ60の内壁にはフッ素の
イオンによる侵食を防止するために陽極酸化膜がコーテ
ィングされている。側壁にはヒーター96が備わり、前
記真空チャンバ60の上側壁はドーム状に構成されてい
る。前記UVランプ78は前記真空チャンバの上側外壁
に設けられたランプ固定部76に備わり、前記UVラン
プ78の下端部にはサファイアよりなる透明窓74が備
わっている。
【0035】また、ウェーハ64がその上部に搭載され
るサセプタ62は、真空チャンバ60の下端中央部に設
けられている。このサセプタ62にはウェーハ64の安
着のためにウェーハ64を昇降可能なリフトピン72が
備わり、これは上下駆動するピンホルダ70に装着され
ている。前記サセプタ62の内部には工程の再現性確保
のためにウェーハ64の温度を容易に制御するように冷
却水または冷却ガスを供給する冷却ラインが設けられて
おり、この冷却ラインには冷却水または冷却ガス供給装
置68から冷却水または冷却ガスを供給する第1パイプ
66が連結されている。ウェーハ64の温度は前記サセ
プタ62の温度により調節されるが、サセプタ62の温
度は冷却ラインを通じて供給される冷却水または冷却ガ
スの温度により調節される。
【0036】一方、反応ガスはガス拡散器を通じて真空
チャンバ60の内部に供給されるが、前記ガス拡散器は
真空チャンバ60の外部に設けられた第2パイプ98か
ら反応ガスを供給される予備チャンバ80と、前記予備
チャンバ80の端部と連結され、真空チャンバ60の内
部全体にわたって均一にガスを供給するための多孔板8
2とより構成されている。第2パイプ98には自然ガス
状態のフッ素系ガスを供給できるパイプが連結されてお
り、このパイプにはスイッチング弁92aおよびMFC
94aが設けられてフッ素系ガスの供給量を制御でき
る。一方、第2パイプ98にはプラズマに励起された状
態でガスを供給するためにリモートプラズマを生じるマ
イクロウェーブガイド90が中間に設けられ、窒素ガ
ス、酸素ガス、水素ガス、アルゴンガスおよびNF3ガ
スが各々マスフローコントロール92b、92c、92
d、92fおよびスイッチング弁94b、94c、94
d、94e、94fによりその供給量が制御されつつ供
給される。
【0037】排気口は真空チャンバ60の下端部に設け
られている。真空チャンバ60を真空状態に維持するた
めに真空チャンバ60内のガスなどの空気を排気する通
路として、前記排気口には第3パイプ84が連結されて
おり、第3パイプ84にはスイッチング弁86および真
空ポンプ88が設けられている。
【0038】以下、図4または図5に示した前処理モジ
ュールおよび一般の蒸着モジュールを具備した装置にお
ける本発明の一実施形態に係る半導体素子の製造方法に
ついて説明する。
【0039】図2は、本発明の一実施形態に係る半導体
素子の製造方法を概略的に示す工程順序図であり、図6
ないし図10は、本発明の一実施形態に係る半導体素子
の製造過程中にSAC(Self−Aligned C
ontact)の形成過程を示す工程断面図である。特
に図9および図10の段階が本発明が直接的に適用され
る段階である。
【0040】まず図6は、シリコン基板200上にゲー
ト電極パターンを形成する段階を示す図であって、シリ
コン基板200上に酸化膜よりなるゲート絶縁層20
2、ポリシリコン層204、シリサイド層206および
シリコンナイトライドよりなるマスク層208を順番に
積層した後、通常の写真蝕刻工程によりこれらよりなる
ゲート電極パターンを形成する。次いで、シリコン基板
200の全面にシリコンナイトライド層を形成した後、
全面蝕刻を施してゲート電極パターンの側壁にスペーサ
210を形成する。
【0041】次いで、図7を参照すれば、スペーサ21
0が形成されたシリコン基板200の全面に後続するS
AC形成工程時に蝕刻阻止膜の役割をするシリコンナイ
トライドよりなる蝕刻阻止層212を化学気相蒸着法に
より蒸着する。前記蝕刻阻止層212は、後続するSA
C形成工程時にゲート電極パターンの間に露出されるシ
リコン基板200の表面に損傷が生じることを防止す
る。
【0042】次いで図8を参照すれば、前記蝕刻阻止層
212および蝕刻選択性を有する酸化ケイ素よりなる層
間絶縁層214を厚く蒸着させる。以後、図2に表示さ
れた乾式蝕刻装置におけるコンタクトホールを形成する
(S40)。前記コンタクトホールを形成する工程はS
AC工程により行われる。すなわち、一般の写真蝕刻工
程によっては、解像度の限界のために、ゲート電極パタ
ーンの間の空間が微細化されるほどゲート電極パターン
の間の空間幅に該当する大きさのコンタクトホールパタ
ーンを有するフォトレジストパターンを形成することが
非常に難しくなり、コンタクトホールの形成が不可能に
なるが、ゲート電極パターンの間の空間幅より広いコン
タクトホールパターンを形成した後、これを蝕刻マスク
として層間絶縁膜214を異方性蝕刻する場合では、コ
ンタクトホールが前記ゲート電極パターンのマスク層2
08、スペーサ210により自己整列されることから、
コンタクトホールはゲート電極パターンの間に望ましく
形成される。前記層間絶縁層214が蝕刻されればゲー
ト電極パターンの間には蝕刻阻止層212が露出され
る。
【0043】次いで、図9を参照すれば、前記層間絶縁
層214に対する蝕刻条件と異なる蝕刻条件下で前記蝕
刻阻止層212を蝕刻してゲート電極パターンの間のシ
リコン基板200を露出させてコンタクトホールを形成
する。この時、前記ゲート電極パターンの間の露出され
たシリコン基板200の表面には各種汚染物だけでなく
損傷層218が形成される。
【0044】次いで、前記コンタクトホールが形成され
たシリコン基板200を図4または図5に示した前処理
モジュールに移送させた後、アッシング工程(S4
2)、残査処理工程(S44)、および前処理洗浄工程
(S46)を連続的に進行する。前処理モジュールにお
ける各工程条件を具体的に説明すれば次の通りである。
【0045】まず、前処理モジュールにおける本実施形
態が適用されるフォトレジストパターン216を除去す
る、いわゆるアッシング工程は、リモートプラズマ状態
の窒素ガスおよび酸素ガスを供給して行う。この時、前
記UVランプ電力は200〜500nmの波長で300
〜1000W、前記マイクロウェーブ電力は500〜2
000W、前処理モジュール内の圧力は0.1〜10t
orr、シリコン基板200の温度は25〜300℃の
条件で維持され、窒素ガス10〜2000sccmおよ
び酸素ガス10〜2000sccmを供給しつつアッシ
ング工程を行う。従来のアッシング工程に比べてフォト
レジスト(PR)パターンの除去がUVランプの活性化
によりさらに効果的に行われ、残留フォトレジストを最
小化できて別のストリップ工程を要しない。
【0046】次いで、前記損傷層218を除去する残査
処理工程は、リモートプラズマ状態の窒素ガスおよび酸
素ガスと、リモートプラズマ状態または自然ガス状態の
フッ素系ガスとを供給して行う。本実施形態に使用した
より具体的な工程条件として、前記マイクロウェーブ電
力は500〜2000W、前処理モジュール内の圧力は
0.1〜10torrおよびシリコン基板の温度は5〜
300℃に維持し、反応ガスとして窒素ガスは10〜2
000sccm、酸素ガスは10〜2000sccmお
よびNF3ガスは30〜300sccmを供給して行
う。
【0047】従来の残査処理工程に比べれば、リモート
プラズマを使用するために損傷層の除去過程で下部膜質
の損傷が最小化され、フッ素系ガスとしてCF系列では
ないNF3ガスを使用することによってシリコン基板2
00の露出表面の炭素汚染物が最小化される。
【0048】一方、前処理洗浄する工程は大きく2つの
段階によって行われる。すなわち、プラズマ状態の水素
ガスおよびフッ素系ガスを供給して、これらを前記コン
タクトホール下部の露出されたシリコン基板200の表
面に形成された酸化膜と化学的に反応させて反応層を形
成する化学的反応段階および、前記反応層を気化させて
除去できるようにアニーリング段階を含む。
【0049】前記反応層を形成する段階で使われる前記
フッ素系ガスはリモートプラズマ状態または自然ガス状
態に供給でき、三フッ化窒素(NF3)、六フッ化硫
(SF6)および三フッ化塩素(ClF3)のようにフッ
素を含むガスのうちいずれか一つを使用できるが、本実
施形態では三フッ化窒素(NF3)を使用した。
【0050】前記反応層は、前記マイクロウェーブ電力
が500〜2000W、前記プラズマ前処理モジュール
内の圧力が1〜10torrおよび前記シリコン基板の
温度が0〜50℃の条件下で、反応ガスとして窒素ガス
10〜2000sccm、水素ガス5〜200sccm
およびNF3ガス10〜300sccmを供給して形成
する。
【0051】前記前処理洗浄工程をより具体的に調べれ
ば、その表面に自然酸化膜などが形成されているシリコ
ン基板200の表面にプラズマ状態の水素ガスおよびフ
ッ素系ガスを供給して前記酸化膜と供給された反応ガス
とを化学的に反応させて自然酸化膜を(NH42SiF
6のような反応層に変化させた後、アニーリングを実施
して前記化学的反応により生成された反応層を気化させ
て除去することである。
【0052】この時水素ガスはプラズマ状態に供給さ
れ、フッ素系ガスの場合には自然状態またはプラズマ状
態で全て使用が可能である。すなわち、水素ガスおよび
フッ素系ガスを所定の割合で混合した混合ガスをプラズ
マ状態にした後、シリコンウェーハに供給する方法や、
水素ガスはプラズマ状態に供給しつつフッ素系ガスは自
然状態でシリコンウェーハに供給する方法のいずれも可
能である。
【0053】シリコン基板200にプラズマ状態の水素
ガスおよびフッ素系ガス(たとえば、水素プラズマガス
に対するNF3ガスの混合比を0.1〜100に設定)
を供給すれば、前記供給ガスは酸化膜、すなわち、Si
2と化学的な反応をして前記供給ガスと酸化膜とが合
う所に供給ガスおよび酸化膜が結合した形の(NH42
SiF6のような副産物、すなわち、反応層を形成す
る。このような反応層がある程度形成されれば、前記反
応層が化学的反応に対する障壁層の役割をして供給ガス
と酸化膜との間の化学的反応は止まる。供給ガスと酸化
膜との間の化学的反応が止まった状態でアニーリングを
行えば反応層は気化されて外部に排出され、前記反応層
が存在した所の酸化膜は除去された状態となる。前記自
然酸化膜や表面酸化物の汚染物が除去された後、露出面
には水素原子が結合されたパッシベーション層が形成さ
れて半導体基板の表面が再酸化されることをある程度防
止する。
【0054】前記ガスが供給されて反応層を形成する段
階およびアニーリング段階は、除去されなければならな
い酸化膜が自然酸化膜のように薄い場合には一般に1回
の工程だけで除去できるが、除去される酸化膜の厚さに
よって1回以上前記段階を反復的に行う場合もある。
【0055】一方、前記前処理洗浄段階では供給ガスと
酸化膜との化学的反応段階およびアニーリング段階を前
記前処理モジュール内で連続的に進行する。一方、図4
の前処理モジュールを使用する場合に、前記化学的反応
段階は真空チャンバ10の下端部、前記アニーリング段
階はUVランプ54が設けられた真空チャンバ10の上
端部で進行できる。
【0056】一方、前記アニーリング工程は望ましくは
100〜500℃の温度で20〜600秒間行われ、こ
の工程中に自然酸化膜と反応ガスとの化学反応により形
成された反応層は気化される。
【0057】次いで図10を参照すれば、前処理洗浄工
程が完了したシリコン基板200を図3の前処理モジュ
ール140、150、160から真空が維持される移転
モジュール100を経て蒸着モジュール170、180
に移送させた後、後続膜220の蒸着工程を行う。前記
後続膜220は導電性の金属膜を多様に選択して使用可
能であるのはもちろんである。
【0058】
【発明の効果】本発明によれば、半導体素子のコンタク
ト形成過程でリモートプラズマを用いる前処理モジュー
ルでアッシング工程、残査処理工程および前処理洗浄工
程を連続して実施するために工程時間が非常に短くなる
だけでなく工程コストも非常に減少する。
【0059】また、マイクロウェーブによる酸素プラズ
マおよびUVランプを使用してアッシング工程を行うた
めにフォトレジストの除去が容易で別のストリップ工程
がいらなくなるという長所がある。
【0060】また、炭素を含有しないフッ素系ガスおよ
び酸素ガスを用いて残査処理工程を進行することによっ
てウェーハ表面の損傷および炭素汚染を最小化してディ
バイスの特性が向上した。
【0061】また、従来の湿式洗浄の代わりにリモート
プラズマ乾式洗浄を行うことによって湿式洗浄時に生じ
うるパターンのプロファイルの劣化や水斑点などの問題
が生じなかった。
【0062】また、前処理モジュールおよび蒸着モジュ
ールがクラスター化された装置でアッシング、残査処理
および前処理洗浄などの前処理工程および後続膜蒸着工
程を行うことによって、設備の半導体基板の移動中に生
じうる各種の汚染物および自然酸化膜の再成長などの問
題点が解決された。
【0063】以上詳細に説明した実施形態では、たとえ
ばゲート電極パターンの間のSAC形成と関連して説明
したが、本発明の適用はこれに限定されず、半導体素子
の全体製造過程の多様な段階、たとえばメタルコンタク
ト、ビットラインコンタクトに適用できる。また、シリ
コン基板を露出させるコンタクトホール形成段階だけで
なく、ポリシリコン層またはシリサイド層などシリコン
を含有した物質を露出させるコンタクトホール形成段階
にも全て適用できることはもちろんである。
【図面の簡単な説明】
【図1】従来の技術に係る半導体素子のコンタクト形成
過程を示す概略的なフローチャートである。
【図2】本発明の一実施形態に係る半導体素子のコンタ
クト形成過程を示す概略的なフローチャートである。
【図3】本発明の一実施形態に係る半導体素子の製造方
法に使われるプラズマ前処理モジュールを具備した装置
の概略的な平面配置図である。
【図4】図3のプラズマ前処理モジュールの一例を示す
概略図である。
【図5】図3のプラズマ前処理モジュールの、他の例を
示す概略図である。
【図6】図2のフローチャートによる半導体素子の製造
方法を示す工程断面図である。
【図7】図2のフローチャートによる半導体素子の製造
方法を示す工程断面図である。
【図8】図2のフローチャートによる半導体素子の製造
方法を示す工程断面図である。
【図9】図2のフローチャートによる半導体素子の製造
方法を示す工程断面図である。
【図10】図2のフローチャートによる半導体素子の製
造方法を示す工程断面図である。
【符号の説明】
10、60…真空チャンバ、 12、62…サセプタ、 14、64…ウェーハ、 16、66…第1パイプ、 16a…冷却ライン、 18、68…冷却水または冷却ガス供給装置、 20…昇降シャフト、 22…モーター、 24…排気口、 26…第4パイプ、 28…スイッチング弁、 30、88…真空ポンプ、 32、98…第2パイプ、 34、84…第3パイプ 36、38、86…スイッチング弁、 40、42、94a…マスフローコントロール(MF
C)、 44、90…マイクロウェーブガイド、 46、92a…スイッチング弁、 48…マスフローコントロール、 50、80…予備チャンバ、 52、82…多孔板、 54、78…UVランプ、 54、96…ヒーター、 70…ピンホルダ、 72…リフトピン、 74…透明窓、 76…ランプ固定部、 100…移転モジュール、 110、120…ローディング/アンローディングモジ
ュール、 130…冷却モジュール、 140、150、160…前処理モジュール、 170、180…蒸着モジュール、 200…シリコン基板、 202…ゲート絶縁層、 204…ポリシリコン層、 206…シリサイド層、 208…マスク層、 210…スペーサ、 212…蝕刻阻止層、 214…層間絶縁層、 216…フォトレジストパターン、 218…損傷層、 220…後続膜。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 金 智 秀 大韓民国大田市儒城区魚隠洞99番地 ハン ビットアパート138棟606号 (72)発明者 秋 昌 雄 大韓民国京畿道水原市八達区靈通洞969− 1番地 壁積谷 三星アパート926棟703号 (72)発明者 徐 相 勳 大韓民国大田市儒城区魚隠洞99番地 ハン ビットアパート138棟606号 Fターム(参考) 4M104 AA01 BB01 CC05 DD02 DD04 DD16 DD22 DD78 DD86 EE09 EE17 FF14 HH14 5F004 AA14 AA16 BA20 BB03 BB05 BB14 BB18 BB25 BC06 BD01 BD07 DA17 DA23 DA24 DA26 DB26 EB01 5F033 HH04 HH25 MM07 MM15 QQ09 QQ37 QQ73 QQ82 QQ92 RR04 RR06 SS11 TT08 WW03 WW06 WW07 XX03 XX21

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 フォトレジストパターンを蝕刻マスクと
    して使用して半導体基板上に形成されたシリコン含有物
    質を露出させるコンタクトホールを形成する段階と、 前記半導体基板をプラズマ前処理モジュールおよび蒸着
    モジュールが真空状態で互いに連結されるクラスター装
    置にローディングさせる段階と、 前記半導体基板を前記プラズマ前処理モジュールに移送
    させた後、前記フォトレジストパターンをアッシングし
    て除去する段階と、 前記プラズマ前処理モジュールでコンタクトホール下部
    の損傷層を除去する段階と、 前記プラズマ前処理モジュールで前記コンタクトホール
    内に後続膜を蒸着する前に前処理洗浄を行う段階と、 前記前処理洗浄が行われた前記半導体基板を、真空を維
    持しつつ前記蒸着モジュールに移送して前記コンタクト
    ホール内に後続膜を蒸着する段階とを含むプラズマ前処
    理モジュールを具備した装置における半導体素子の製造
    方法。
  2. 【請求項2】 前記シリコン含有物質は、シリコン層、
    ポリシリコン層またはシリサイド層であることを特徴と
    する請求項1に記載のプラズマ前処理モジュールを具備
    した装置における半導体素子の製造方法。
  3. 【請求項3】 前記プラズマ前処理モジュールは、リモ
    ートマイクロウェーブプラズマを用いることであること
    を特徴とする請求項1に記載のプラズマ前処理モジュー
    ルを具備した装置における半導体素子の製造方法。
  4. 【請求項4】 前記プラズマ前処理モジュールには、そ
    の内部に熱を供給できる熱源がさらに備わることを特徴
    とする請求項3に記載のプラズマ前処理モジュールを具
    備した装置における半導体素子の製造方法。
  5. 【請求項5】 前記プラズマ前処理モジュールには、そ
    の内部に熱を供給できる熱源として、UVランプが、前
    記工程が行われる半導体基板の上側に設けられたことを
    特徴とする請求項4に記載のプラズマ前処理モジュール
    を具備した装置における半導体素子の製造方法。
  6. 【請求項6】 前記プラズマ前処理モジュールはドーム
    状の上側壁を具備し、前記UVランプが前記ドーム状の
    上側壁の外側に備わることを特徴とする請求項5に記載
    のプラズマ前処理モジュールを具備した装置における半
    導体素子の製造方法。
  7. 【請求項7】 前記プラズマ前処理モジュールには前記
    半導体基板を安着させるサセプタがさらに備わり、前記
    サセプタは昇降可能であることを特徴とする請求項5に
    記載のプラズマ前処理モジュールを具備した装置におけ
    る半導体素子の製造方法。
  8. 【請求項8】 前記クラスター装置は、複数のプラズマ
    前処理モジュールおよび少なくとも一つの蒸着モジュー
    ルが中央に真空が維持される移転モジュールをおいて放
    射状に配置されていることを特徴とする請求項1に記載
    のプラズマ前処理モジュールを具備した装置における半
    導体素子の製造方法。
  9. 【請求項9】 前記フォトレジストパターンをアッシン
    グして除去する段階は、リモートプラズマ状態の窒素ガ
    スおよび酸素ガスを供給して行うことを特徴とする請求
    項5に記載のプラズマ前処理モジュールを具備した装置
    における半導体素子の製造方法。
  10. 【請求項10】 前記フォトレジストパターンをアッシ
    ングして除去する段階は、前記UVランプ電力300な
    いし1000W、前記マイクロウェーブ電力500ない
    し2000W、前記プラズマ前処理モジュール内の圧力
    0.1ないし10torrおよび前記半導体基板の温度
    25ないし300℃の条件下で、反応ガスとして窒素ガ
    ス10ないし2000sccm、酸素ガス10ないし2
    000sccmを供給して行うことを特徴とする請求項
    9に記載のプラズマ前処理モジュールを具備した装置に
    おける半導体素子の製造方法。
  11. 【請求項11】 前記損傷層を除去する段階は、リモー
    トプラズマ状態の窒素ガスおよび酸素ガスと、リモート
    プラズマ状態または自然ガス状態のフッ素系ガスとを供
    給して行うことを特徴とする請求項5に記載のプラズマ
    前処理モジュールを具備した装置における半導体素子の
    製造方法。
  12. 【請求項12】 前記フッ素系ガスはNF3ガスである
    ことを特徴とする請求項11に記載のプラズマ前処理モ
    ジュールを具備した装置における半導体素子の製造方
    法。
  13. 【請求項13】 前記損傷層を除去する段階は、前記マ
    イクロウェーブ電力500ないし2000W、前記プラ
    ズマ前処理モジュール内の圧力0.1ないし10tor
    rおよび前記半導体基板の温度5ないし300℃の条件
    下で、反応ガスとして窒素ガス10ないし2000sc
    cm、酸素ガス10ないし2000sccmおよびNF
    3ガス30ないし300sccmを供給して行うことを
    特徴とする請求項12に記載のプラズマ前処理モジュー
    ルを具備した装置における半導体素子の製造方法。
  14. 【請求項14】 前記前処理洗浄する段階は、 プラズマ状態の水素ガスおよびフッ素系ガスを供給して
    前記コンタクトホール下部の露出されたシリコン含有物
    質の表面に形成された酸化膜と化学的に反応させて反応
    層を形成する段階と、 前記反応層を気化させて除去できるようにアニーリング
    段階とを含むことを特徴とする請求項5に記載のプラズ
    マ前処理モジュールを具備した装置における半導体素子
    の製造方法。
  15. 【請求項15】 前記フッ素系ガスは、リモートプラズ
    マ状態または自然ガス状態に供給することを特徴とする
    請求項14に記載のプラズマ前処理モジュールを具備し
    た装置における半導体素子の製造方法。
  16. 【請求項16】 前記フッ素系ガスは、NF3、SF6
    よびClF3のようにフッ素を含むガスのうちいずれか
    一つであることを特徴とする請求項14に記載のプラズ
    マ前処理モジュールを具備した装置における半導体素子
    の製造方法。
  17. 【請求項17】 前記反応層を形成する段階は、前記マ
    イクロウェーブ電力500ないし2000W、前記プラ
    ズマ前処理モジュール内の圧力1ないし10torrお
    よび前記半導体基板の温度0ないし50℃の条件下で、
    反応ガスとして窒素ガス10ないし2000sccm、
    水素ガス5ないし200sccmおよびNF3ガス10
    ないし300sccmを供給して行うことを特徴とする
    請求項14に記載のプラズマ前処理モジュールを具備し
    た装置における半導体素子の製造方法。
  18. 【請求項18】 前記前処理モジュール内には前記半導
    体基板を安着して昇降可能なサセプタがさらに備わり、
    前記アニーリング段階は、前記半導体基板を安着した前
    記サセプタが上側に移動した状態で行うことを特徴とす
    る請求項14に記載のプラズマ前処理モジュールを具備
    した装置における半導体素子の製造方法。
  19. 【請求項19】 前記アニーリング段階は、前記半導体
    基板が100〜500℃の温度で行うことを特徴とする
    請求項14に記載のプラズマ前処理モジュールを具備し
    た装置における半導体素子の製造方法。
  20. 【請求項20】 前記後続膜を蒸着する段階は、前記前
    処理洗浄段階が行われた前記半導体基板を前記真空が維
    持される移転モジュールを通じて前記蒸着モジュールに
    伝送した後に行うことを特徴とする請求項8に記載のプ
    ラズマ前処理モジュールを具備した装置における半導体
    素子の製造方法。
JP2001349915A 2000-11-24 2001-11-15 プラズマ前処理モジュールを具備した装置における半導体素子の製造方法 Pending JP2002222861A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2000-0070491A KR100382725B1 (ko) 2000-11-24 2000-11-24 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
KR2000-070491 2000-11-24

Publications (1)

Publication Number Publication Date
JP2002222861A true JP2002222861A (ja) 2002-08-09

Family

ID=19701322

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001349915A Pending JP2002222861A (ja) 2000-11-24 2001-11-15 プラズマ前処理モジュールを具備した装置における半導体素子の製造方法

Country Status (6)

Country Link
US (1) US6767834B2 (ja)
JP (1) JP2002222861A (ja)
KR (1) KR100382725B1 (ja)
CN (1) CN1187796C (ja)
DE (1) DE10157223B4 (ja)
TW (1) TW520553B (ja)

Cited By (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
JP2014175659A (ja) * 2013-03-06 2014-09-22 Lam Research Corporation 金属シード層上の金属酸化物を還元するための方法および装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
JP2015008183A (ja) * 2013-06-25 2015-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
KR100524197B1 (ko) 2003-04-29 2005-10-27 삼성전자주식회사 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100968567B1 (ko) * 2003-07-22 2010-07-08 삼성전자주식회사 다층 박막의 제조 방법 및 이를 이용한 박막 트랜지스터표시판의 제조 방법
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
KR101050943B1 (ko) * 2004-01-09 2011-07-20 매그나칩 반도체 유한회사 반도체 장치의 금속배선 형성 방법
US7351663B1 (en) * 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
JP4032058B2 (ja) 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060105561A1 (en) * 2004-11-15 2006-05-18 Yunjun Huh Method of manufacturing a self-aligned contact structure
US7162317B2 (en) * 2005-02-25 2007-01-09 Lam Research Corporation Methods and apparatus for configuring plasma cluster tools
KR100780045B1 (ko) * 2006-03-06 2007-11-27 (주) 디오브이 유기전계 발광소자 플라즈마 전처리 챔버
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
CN101004559B (zh) * 2006-12-21 2012-01-11 上海集成电路研发中心有限公司 一种增强光刻工艺中图形黏附力的方法
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013134127A1 (en) * 2012-03-05 2013-09-12 First Solar, Inc. Method and apparatus for forming a transparent conductive oxide using hydrogen
CN103456676A (zh) * 2012-05-31 2013-12-18 无锡华润上华科技有限公司 接触孔硅凹槽蚀刻方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6163442B2 (ja) * 2014-03-05 2017-07-12 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102570269B1 (ko) * 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
KR102005042B1 (ko) 2016-08-17 2019-07-29 주식회사 아이씨케이 강화된 강도를 갖는 비접촉식 카드 기능을 갖는 메탈 카드 및 그에 사용되는 금속판 어셈블리, 그리고 그들의 제조 방법
KR101868478B1 (ko) 2016-08-17 2018-07-23 주식회사 아이씨케이 비접촉식 카드 기능을 갖는 메탈 카드
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109979806B (zh) * 2017-12-26 2021-04-30 中国科学院苏州纳米技术与纳米仿生研究所 兼容真空环境的图形转移的方法及***
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200144186A (ko) 2019-06-17 2020-12-29 유인종 페라이트를 이용한 비접촉식 메탈 카드용 인레이 제조방법 및 카드 제조 방법
KR20200145883A (ko) 2019-06-19 2020-12-31 유인종 양면 이용 가능한 메탈카드용 미니인레이 및 이를 이용한 메탈카드
KR102308861B1 (ko) 2019-11-28 2021-10-06 유인종 비접촉식 메탈카드 및 그 제조방법
CN113053744B (zh) * 2019-12-27 2024-03-22 株式会社日立高新技术 半导体装置的制造方法
KR102669097B1 (ko) 2021-06-17 2024-05-23 아이씨케이 인터내셔널 잉크 비접촉식 카드 기능을 갖는 메탈 카드 및 그 제조방법
KR102613064B1 (ko) 2023-08-14 2023-12-12 주식회사 티아이씨피 듀얼 인터페이스 기능을 갖는 메탈 카드 및 그 제조방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
KR920007124A (ko) * 1990-09-04 1992-04-28 김광호 폴리 에미터 바이폴라 트랜지스터의 제조방법
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH0799178A (ja) * 1993-09-28 1995-04-11 Fujitsu Ltd 半導体装置の製造方法
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
KR19980077122A (ko) * 1997-04-16 1998-11-16 김영환 반도체 장치의 콘택홀 형성 방법
GB9715013D0 (en) * 1997-07-17 1997-09-24 Cambridge Consultants Liquid feed bottle
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6492272B1 (en) * 2001-02-15 2002-12-10 Advanced Micro Devices, Inc. Carrier gas modification for use in plasma ashing of photoresist

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
JP2011205135A (ja) * 2004-02-26 2011-10-13 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
JP2014175659A (ja) * 2013-03-06 2014-09-22 Lam Research Corporation 金属シード層上の金属酸化物を還元するための方法および装置
JP2018195847A (ja) * 2013-03-06 2018-12-06 ラム リサーチ コーポレーションLam Research Corporation 金属シード層上の金属酸化物を還元するための方法および装置
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP2015008183A (ja) * 2013-06-25 2015-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11208732B2 (en) 2017-03-30 2021-12-28 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating

Also Published As

Publication number Publication date
TW520553B (en) 2003-02-11
KR100382725B1 (ko) 2003-05-09
CN1356719A (zh) 2002-07-03
US6767834B2 (en) 2004-07-27
DE10157223B4 (de) 2006-11-16
US20020064944A1 (en) 2002-05-30
DE10157223A1 (de) 2002-06-27
CN1187796C (zh) 2005-02-02
KR20020040445A (ko) 2002-05-30

Similar Documents

Publication Publication Date Title
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
KR100316721B1 (ko) 실리사이드막을 구비한 반도체소자의 제조방법
JP4871444B2 (ja) 酸化膜除去法及び酸化膜除去のための半導体製造装置
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
US7846347B2 (en) Method for removing a halogen-containing residue
US8991333B2 (en) Substrate processing method and system
JP5595481B2 (ja) 選択的窒素化の方法
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
TW201316405A (zh) 雙重圖案化蝕刻製程
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US8124536B2 (en) Manufacturing method of capacitor electrode, manufacturing system of capacitor electrode, and storage medium
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
US20200373149A1 (en) In-situ atomic layer deposition process
US11631590B2 (en) Substrate processing method, substrate processing apparatus and cleaning apparatus
US6972235B2 (en) Method for processing semiconductor substrate
KR20220126757A (ko) 서브트랙티브 자기-정렬을 위한 방법들 및 디바이스들
TWI751326B (zh) 自對準通孔處理流程
TWI778048B (zh) 形成半導體結構的方法
US11404282B2 (en) Method of etching film and plasma processing apparatus
JPH09172081A (ja) 半導体装置の製造方法
KR100327349B1 (ko) 반도체 소자의 이중 게이트 산화막 형성 방법
KR20230049254A (ko) 기판 처리 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040927

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080306

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080311

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080407

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080812

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081112

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090210