CN1187796C - 利用具有至少一个等离子体预处理舱的装置组制造半导体器件的接触的方法 - Google Patents
利用具有至少一个等离子体预处理舱的装置组制造半导体器件的接触的方法 Download PDFInfo
- Publication number
- CN1187796C CN1187796C CNB011396091A CN01139609A CN1187796C CN 1187796 C CN1187796 C CN 1187796C CN B011396091 A CNB011396091 A CN B011396091A CN 01139609 A CN01139609 A CN 01139609A CN 1187796 C CN1187796 C CN 1187796C
- Authority
- CN
- China
- Prior art keywords
- gas
- plasma
- substrate
- semiconductor substrate
- pretreatment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title claims abstract description 87
- 239000004065 semiconductor Substances 0.000 title claims abstract description 73
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 10
- 239000000758 substrate Substances 0.000 claims abstract description 87
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 37
- 230000008569 process Effects 0.000 claims abstract description 37
- 230000008021 deposition Effects 0.000 claims abstract description 31
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 24
- 239000010703 silicon Substances 0.000 claims abstract description 24
- 238000004380 ashing Methods 0.000 claims abstract description 14
- 239000000463 material Substances 0.000 claims abstract description 8
- 239000007789 gas Substances 0.000 claims description 91
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 41
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 38
- 239000011737 fluorine Substances 0.000 claims description 38
- 229910052731 fluorine Inorganic materials 0.000 claims description 38
- 238000006243 chemical reaction Methods 0.000 claims description 33
- 238000000151 deposition Methods 0.000 claims description 33
- 238000004140 cleaning Methods 0.000 claims description 24
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 21
- 238000005530 etching Methods 0.000 claims description 21
- 229910052757 nitrogen Inorganic materials 0.000 claims description 21
- 239000001301 oxygen Substances 0.000 claims description 21
- 229910052760 oxygen Inorganic materials 0.000 claims description 21
- 238000012545 processing Methods 0.000 claims description 19
- 238000000137 annealing Methods 0.000 claims description 18
- 239000001257 hydrogen Substances 0.000 claims description 15
- 229910052739 hydrogen Inorganic materials 0.000 claims description 15
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 14
- 238000011049 filling Methods 0.000 claims description 10
- 239000011248 coating agent Substances 0.000 claims description 5
- 238000000576 coating method Methods 0.000 claims description 5
- 230000005284 excitation Effects 0.000 claims 13
- 150000002829 nitrogen Chemical class 0.000 claims 2
- 230000005465 channeling Effects 0.000 claims 1
- 238000010438 heat treatment Methods 0.000 claims 1
- 239000011229 interlayer Substances 0.000 abstract 2
- 239000010410 layer Substances 0.000 abstract 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 20
- 235000012431 wafers Nutrition 0.000 description 20
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 12
- 238000005260 corrosion Methods 0.000 description 11
- 230000007797 corrosion Effects 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 7
- 238000001816 cooling Methods 0.000 description 7
- 238000002360 preparation method Methods 0.000 description 7
- 208000032843 Hemorrhage Diseases 0.000 description 6
- 208000034158 bleeding Diseases 0.000 description 6
- 231100000319 bleeding Toxicity 0.000 description 6
- 230000000740 bleeding effect Effects 0.000 description 6
- 230000006378 damage Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000003344 environmental pollutant Substances 0.000 description 4
- 231100000719 pollutant Toxicity 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 239000000498 cooling water Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000001259 photo etching Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012797 qualification Methods 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 208000005189 Embolism Diseases 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
半导体器件的接触的制造方法包含一系列的预处理工艺,每个工艺都在等离子预处理舱中进行。半导体衬底具有形成在包含硅的材料的下层上的中间层。接触孔形成在中间层中以便露出下层的表面。接着,将半导体衬底装载到等离子预处理舱中。在预处理舱中通过灰化除去光致抗蚀剂图形。然后在等离子舱中除去由接触孔露出的表面处的损坏层。接着,在等离子预处理舱中预清洁该半导体衬底。然后在真空中将该半导体衬底传送到淀积舱。在这里,在该衬底上形成填充接触孔的上层。
Description
技术领域
本发明涉及利用具有等离子预处理舱的装置制造半导体器件的接触的方法。更具体地说,本发明涉及从利用光致抗蚀剂图形形成接触孔时起到在接触孔中淀积材料时止的预处理工艺。
背景技术
高度集成的半导体器件是通过下列方法制造的:以一个在另一个的顶上的方式层叠许多导电层或半导体层,在其间夹有各个绝缘层,将导电层或半导体层彼此连接。一般,采用接触孔来连接导电层或半导体层,形成这种高度集成的半导体器件的方法包含以下步骤:形成下(导电或半导体)层;在其上形成绝缘层;形成穿透绝缘层的接触孔,该接触孔使下层露出;在绝缘层上形成上(导电或半导体)层,该上层用导电材料填充接触孔。
通常,通过等离子干蚀工艺形成接触孔。在该工艺中,通过高速移动的等离子的反应离子来蚀刻绝缘层。因为制造半导体器件几乎总是包含各向异性蚀刻,等离子干蚀工艺是半导体器件制造中的主要工艺之一。
然而,当进行等离子干蚀时,等离子的反应离子在晶片的表面上产生晶格缺陷,或者损坏暴露在接触孔底部的表面。如果允许这样的缺陷或损坏存在,会对器件的电特性有不利影响。
因此,传统的处理工艺包含:晶片的退火工艺以防止其表面在等离子蚀刻工艺过程中受到损坏;形成接触孔之后的栓塞植入工艺,以便矫正限定接触孔底部的表面的任何损坏。而且,还有已知的除去接触孔底部的损坏层的工艺。
图1显示了从形成接触孔时起到形成上导电层或半导体层时的传统的制造方法。参考图1,通过等离子干蚀装置形成接触孔(S10)。通常,通过在半导体衬底或其它特定的下层(或“底层”)上形成绝缘层、利用光刻技术在绝缘层上形成光致抗蚀剂图形、利用该光致抗蚀剂图形作为蚀刻掩模蚀刻绝缘层来形成接触孔。
然后,将半导体衬底移动到灰化装置,灰化并除去其上的光致抗蚀剂图形(S12)。然后,进行光致抗蚀剂(PR)剥离工艺(S14)。在该工艺中,将半导体衬底从灰化装置传送到充有硫酸的湿槽中,以便除去通过灰化没有除去的光致抗蚀剂图形的残余物。
然后,进行残余物处理工艺,以便除去通过等离子干蚀在半导体衬底表面或限定接触孔底部的特定下层的表面上形成的损坏层(S16)。利用低偏压条件和CF4或氧气进行该残余物处理工艺。接着,在湿槽中进行预处理清洁工艺(S18),以便除去通过残余物处理工艺形成的另外的损坏层,并且从接触孔中除去任何遗留的沾污物,例如碳。
接着,将预处理过的半导体衬底传送到淀积装置,于是形成上层以填充接触孔(S20)。
然而,传统的制造方法存在下列问题。
第一,光致抗蚀剂(PR)剥离工艺需要大量的处理时间,并且由于在充有硫酸的湿槽中进行PR剥离工艺,增加了半导体衬底被沾污的可能性。
第二,通过干蚀装置进行的残余物处理工艺可能会损坏由接触孔露出的表面。然而,尽管通过在湿槽中进行预处理清洁工艺可以除去损坏层,但随着在预处理清洁工艺中使用的清洁液的不同,限定接触孔侧壁的各种层的蚀刻率也不同。这样,限定接触孔的侧壁变得不平坦,形成在半导体衬底上的图形也由于过蚀而劣化。
第三,甚至在进行了预处理清洁工艺之后,随着将半导体衬底传送到淀积装置,限定接触孔底部的表面上还会形成新的天然氧化物膜。该天然氧化物膜妨碍了在限定接触孔底部的下层的表面和通过淀积工艺形成的上层之间建立良好的接触。
第四,由于干蚀和淀积工艺通常在一个晶片上进行一次,而光致抗蚀剂PR剥离工艺和预处理清洁工艺的特征是使用湿槽,且为批量生产工艺,因此难以使工艺成为一个整体。因此,半导体衬底必须通过分开的处理装置传送,从而该衬底更容易被沾污。因此,尽管花费了大量的处理时间,许多器件仍然会具有较差的电特性。
发明内容
本发明的目的是解决现有技术的上述问题。
更具体地说,本发明的目的是提供一种形成半导体器件的接触的方法,其中可以在相当短的时间内进行形成良好接触所需的预处理工艺。
本发明的另一个目的是提供一种形成半导体器件的接触的方法,其中在半导体衬底在各工艺之间的中间态不被沾污的情况下,进行形成良好接触所需的预处理工艺。
为了实现上述目的,本发明提供了一种方法,其中利用等离子进行从形成接触孔到填充接触孔的预处理工艺。
利用光致抗蚀剂图形作为蚀刻掩模形成接触孔,其中露出包括硅的下层材料的表面。接着,将半导体衬底装载到组合装置中,所述组合装置具有一个或多个等离子预处理和淀积舱,上述等离子预处理舱和淀积舱通过其中可以保持真空的装置彼此连接。在第一预处理工艺中,通过灰化除去光致抗蚀剂图形。在第二预处理工艺中,除去由接触孔暴露出的表面处的损坏层。在第三预处理工艺中,清洁半导体衬底。然后将衬底保持在真空中的同时,将该半导体衬底传送到淀积舱。在此,在衬底上形成膜以填充接触孔,从而建立与下层的电接触。
下层可以是硅、多晶硅或硅化物层。填充接触孔所形成的上层是导电膜,例如由多晶硅层和金属层形成的一个膜。
将等离子预处理舱设计成利用微波在外部产生等离子。在这一点上,利用等离子态的氮气和氧气、接着应用UV光进行灰化光致抗蚀剂的工艺。利用等离子态的氮气和氧气以及等离子态的氟基气体或常态的氟基气体进行除去损坏层的工艺。而且,清洁工艺包括:利用等离子态的氢气和氟基气体引起与在接触孔的底部露出的下层表面上的氧化物层的反应,从而形成反应层,给反应层退火使该反应层汽化。
根据本发明,可以在组合装置的等离子预处理舱中连续地进行灰化工艺、残余物处理工艺和预清洁工艺。这样,可以使预处理的处理时间最短。而且,与现有技术中半导体衬底在各个处理装置中传送的情况相比,防止了沾污物积聚在接触孔中。
另外,由于在等离子预处理舱和淀积舱之间保持真空,防止了在预处理清洁工艺进行之后在暴露的表面上生长天然氧化物膜,因此淀积材料和由接触孔露出的表面之间彼此接触良好。
综上所述,本发明提供了一种半导体器件的接触的制造方法,包括步骤:提供半导体衬底,在该半导体衬底上,在包括硅的下层上形成了中间层;利用光致抗蚀剂图形作为蚀刻掩膜,在中间层中形成接触孔,其中在接触孔的底部露出下层的表面;将该半导体衬底装载到具有彼此相连的等离子预处理舱和淀积舱的装置中;将半导体衬底传送到等离子预处理舱;在等离子预处理舱中灰化光致抗蚀剂图形以便除去光致抗蚀剂图形;接着在等离子预处理舱中除去下层表面的损坏层,该下层表面限定了接触孔的底部;接着在等离子预处理舱中清洁该半导体衬底;接着在真空中将半导体衬底传送到淀积舱中;和在淀积舱中将填充接触孔的材料淀积到该衬底上。
本发明还提供了一种半导体器件的接触的制造方法,包括步骤:提供半导体衬底,在该半导体衬底上,在包括硅的下层上形成了中间层;利用光致抗蚀剂图形作为蚀刻掩膜,在中间层中形成接触孔,其中在接触孔的底部露出下层的表面;在等离子预处理加工腔中,利用等离子和UV光灰化光致抗蚀剂图形,以便除去光致抗蚀剂图形;接着在等离子预处理加工腔中,利用等离子和氟基气体除去限定接触孔底部的在下层表面上的损坏层,从而在所述表面上形成氧化物;接着在等离子预处理加工腔中清洁半导体衬底以便除去所述氧化物,所述清洁包括:将与氧化物发生化学反应的等离子引导到表面上以形成反应层,给衬底退火以便汽化该反应层;接着在真空中将半导体传送到淀积舱中;和在淀积舱中将填充接触孔的材料淀积到该衬底上。
附图说明
通过下面参考附图对本发明最佳实施例的详细描述,本发明的上述和其它目的、特征和优点将变得更显而易见。图中:
图1是在制造半导体器件的工艺中形成接触的传统方法的方框图;
图2是根据本发明形成半导体器件接触的方法的方框图;
图3是根据本发明在制造半导体器件的工艺中形成接触的装置的平面示意图;
图4是图3所示的装置的等离子预处理舱的第一实施例的示意图;
图5是等离子预处理舱的另一个实施例的示意图;和
图6至10是衬底的截面图,显示了根据本发明形成接触的方法。
具体实施方式
现在将参考附图更全面地描述本发明。然而,应当理解,当描述一个层在另一层或衬底之上时,这种描述的含义是该层可以直接设置在所述另一层或衬底之上,或者可以在它们之间***中间层。
图2显示了根据本发明构成形成接触的方法的基本工艺。简言之,在利用干蚀装置形成接触孔(步骤S40)之后,灰化工艺(步骤S42)、残余物处理工艺(步骤S44)和预处理工艺(步骤S46)都在预处理舱中进行。接着,在淀积舱中形成上层(步骤S48)。
然而,在更详细地描述根据本发明的形成接触的方法之前,将描述实现该方法的装置。现在参考图3,该装置包括一组处理舱。在该装置中间设置了其中保持均匀真空的传送舱100。装载和卸载舱110和120、冷却舱130、预处理舱140、150和160以及淀积舱170和180排列在该传送舱100的周围。
在传送舱100的中央提供了传送装置(未示出)。晶片从装载舱110通过传送装置传送到某个预处理舱140、150或160。预处理工艺完成后,该晶片通过其中保持真空的传送舱100从预处理舱传送到淀积舱170或180。在淀积舱中在该晶片上形成填充接触孔的上层。如果在最后的预处理工艺后晶片的温度太高,可以在形成上层之前将晶片传送到冷却舱130。还可以在淀积工艺后而在晶片传送到卸载舱120之前,采用该冷却舱130将晶片冷却到适当的温度。尽管图3中示出了三个预处理舱和两个淀积舱,但如果需要该装置可以具有其它数目的预处理和淀积舱。
图4显示了包括分置式等离子发生装置的预处理舱的一个实施例。这种分置式等离子发生装置公开于韩国专利申请No.99-46365中。
参考图4,预处理舱包含真空腔10、微波导管44、气体扩散器、用于在同一腔室进行退火处理的加热器54和用于在真空腔10中定位晶片的基座12,其中微波导管44构成分置式等离子发生装置,该分置式等离子发生装置用于将反应气体激励为等离子体并将该等离子态的反应气体传送到真空腔10中。
更具体地说,安装晶片14的基座12设置在真空腔10的中心。通过轴20和马达22,该基座12可以从真空腔10的下部移动到真空腔10的上部,并且可以反向移动(如箭头
所示)。通过基座12的温度控制晶片14的温度。为了确保工艺的可再制性,穿过基座12延伸的冷却线路16a用于提供冷却水或冷却气来控制基座12的温度,由此控制晶片14的温度。用于从冷却水或冷却气供应设备18提供冷却水或冷却气的第一管线16连接到冷却线路16a。
通过气体扩散器为真空腔10供应反应气体。该气体扩散器包含预备腔50和连接到预备腔50底部的穿孔的板52,预备腔50用于从延伸到真空腔lO外的第二和第三管线32和34接收反应气体,穿孔的板52用于使气体均匀地分布在整个真空腔10中。第二管线32用于供应等离子态的气体。氢气供应源(用“H2”表示)和氟基气体供应源(用“NF3”表示)与第二管线32的一端相连。用于控制气体量的开关阀36和38以及流量控制器(MFC)40和42分别安装在氢气供应源和氟基气体供应源附近。从氟基气体供应源和氢气供应源来的气体分别通过开关阀36和38以及流量控制器40和42,到达微波导管44,在此该气体被激励。第三管线34用于供应常态氟基气体。氟基气体供应源(用“NF3”表示)连接到第三管线34的一端。开关阀46和MFC48安装在第三管线34中氟基气体供应源的附近。
然而,在本发明的方法中使用的源气不限于氢(H2)和氟基气体(NF3)。例如,可以采用氧(O2)、氮(N2)和氩(Ar)作为源气。
在真空腔10的底部设置抽气口24,用于从真空腔10抽气,以便保持真空腔10中适当的真空度。第四管线26与抽气口24相连,开关阀28和真空泵30安装在第四管线26中。
通过安装在真空腔10底部的智能阀自动控制真空腔中的压力。用于给晶片14退火的加热器54夹在预备腔50的顶部和真空腔10的顶板之间。加热器54可以是紫外(UV)灯或激光。
图5示意性地显示了预处理舱的另一个实施例。该预处理实施例包含真空腔60、微波导管90、气体扩散器、用于在腔室60进行退火处理的UV灯78和用于支撑晶片64的基座62,其中微波导管90构成分置式等离子发生装置,该分置式等离子发生装置用于将反应气体激励为等离子体并将该反应气体以等离子态传送到真空腔60中。
真空腔60的内壁用阳极化膜覆盖以防止内壁受氟离子的侵蚀。真空腔60的侧壁设有加热器96。真空腔的上壁76呈圆顶状。更具体地说,上壁包括灯固定单元76和正好在UV灯78下面延伸的由蓝宝石制成的透明窗74,在灯固定单元76中一体结合有UV灯78。
其上安装了晶片64的基座62设置在真空腔60的下部的中央。用于上、下移动晶片64的提升支柱72穿过基座62延伸。提升支柱72安装到支柱保持体70上,该支柱保持体70被驱动上下移动。通过基座62的温度控制晶片64的温度。为了确保工艺的可再制性,用于提供冷水或冷气的冷却线路穿过基座62延伸,以便控制基座62的温度,由此控制晶片64的温度。用于从冷水或冷气供应装置68供应冷水或冷气的第一管线66与冷却线路相连。
通过气体扩散器将反应气体供应到真空腔60中,该气体扩散器包含预备腔80和穿孔的板82,预备腔80用于接收从延伸到真空腔60外的第二管线98来的反应气体,穿孔的板82与预备腔80下部相连,用于在整个真空腔60中均匀分布气体。与氟基气体供应源(NF3)连接的管线又连接到第二管线98,用于给真空腔供应氟基气体。开关阀92a和MFC94a安装在该管线中,这样控制氟基气体的供应。在第二管线98处安装用于产生等离子的微波导管90。通过MFC92b、92c、92d和92f以及开关阀94b、94c、94d和94f分别控制通过管98供应到微波导管90的氮气、氧气、氢气、氩气和NF3气体。
在真空腔60的底部设置抽气口,用于从真空腔60中抽出气体,以便保持真空腔60中的真空。第三管线84与抽气口连接,开关阀86和真空泵88安装在第三管线84中。
在下文中,将描述根据本发明,利用包含图4或5所示类型的预处理舱的装置形成接触的工艺。
图6至10显示了根据本发明形成半导体器件的自对准接触(SAC)的工艺。
参考图6,在硅衬底200上形成栅极图形。首先,在硅衬底200上依次形成栅绝缘层202、多晶硅层204、硅化物层206和氮化硅的掩模层208。接着,利用传统的光刻法布图上述层以形成栅极。通过在整个结构上形成氮化硅层,然后从硅衬底200的表面蚀刻氮化硅层,在栅极的侧壁上形成隔离层210。
参考图7,通过化学汽相淀积(CVD)在硅衬底200的整个表面上形成氮化硅的蚀刻停止层212。该蚀刻停止层212的作用是使接着用来形成SAC的蚀刻工艺停止,这样防止栅极图形之间的硅衬底200的表面被损伤。
参考图8,形成预定厚度的氧化硅层间介质膜214,该层间介质膜相对于上述蚀刻停止层212具有蚀刻选择性。然后,利用干蚀装置在层间介质膜214中形成接触孔(步骤S40)。更具体地说,通过SAC工艺形成接触孔。
在这方面,在预处理舱中形成光致抗蚀剂图形216。利用该光致抗蚀剂图形形成接触孔,该接触孔与栅极图形之间的间距宽度相应。栅极图形之间的间隔越小,利用一般的光刻工艺形成光致抗蚀剂图形越困难,这是工艺分辨率方面的固有限制。据此,设计光致抗蚀剂图形以便形成接触孔,接触孔比栅极图形之间的间隔的宽度大。利用光致抗蚀剂图形作为蚀刻掩模,各向异性蚀刻该层间介质膜214,从而通过掩膜层208和每个栅极图形的隔离层210将接触孔自对准。当蚀刻层间介质膜214时,在栅极图形之间露出蚀刻停止层212。
参考图9,在与蚀刻层间介质膜214的蚀刻条件不同的蚀刻条件下,通过蚀刻蚀刻停止层212,露出栅极图形之间的硅衬底200。由此,形成接触孔。此时,在栅极图形之间的露出的硅衬底200的表面处,产生了损坏层218。另外,在栅极图形之间的露出的硅衬底200的表面上留有各种沾污物。
在其中已形成接触孔的硅衬底200传送到图4或5所示的预处理舱处之后,依次进行灰化工艺S42、残余物处理工艺S44和预处理清洁工艺S46。现在将详细描述这些工艺过程中预处理舱中的通行条件。
第一,进行除去光致抗蚀剂图形216的灰化工艺。在该工艺中,UV灯发射200-500nm波长的UV光并在300-1,000W的功率下工作。微波导管的功率为500-2,000W。预处理舱中的压力为0.1-10乇。硅衬底200的温度为25-300℃。在这些条件下,通过以10-2,000sccm和10-2,000sccm的速率分别给舱供应等离子态的氮和氧进行所述的灰化工艺,注意,通过UV光比通过在传统灰化工艺中采用的装置更能有效地除去光致抗蚀剂图形。这样,不需要附加的剥离工艺。
然后,通过将等离子态的氮和氧供应到舱中和通过将氟基气体供应到舱中进行除去损坏层218的残余物处理工艺。在该工艺中,微波导管的功率为500-2,000W。预处理舱中的压力为0.1-10乇。硅衬底的温度为5-300℃。以10-2,000sccm的速率供应作为反应气体的氮,以10-2,000sccm的速率供应氧,以30-300sccm的速率供应氟基气体(NF3)。
与传统的残余物处理工艺相比,采用等离子来除去损坏层对下层产生的损害较小。此外,由于采用了与CF基气体相反的NF3,露出的硅衬底200表面不会受到碳的沾污。
最后,在两个步骤即化学反应步骤和退火步骤中进行预处理清洁工艺。化学反应步骤包含将氢和氟基气体供应到舱中,两种气体与形成在限定接触孔底部的硅衬底200表面上的氧化膜化学反应,从而形成反应层。这样形成的退火步骤除去所形成的反应层。
下面将描述形成反应层的步骤的具体条件。微波导管的功率为500-2,000W。等离子预处理舱中的压力为1-10乇。硅衬底的温度为0-50℃。以10-2,000sccm的速率供应作为反应气体的氮,以5-200sccm的速率供应氢,以10-300sccm的速率供应NF3。结果,天然氧化膜转换成如(NH4)2SiF6的反应层。这种反应层可以汽化而被除去。
可以以等离子态或正常气态供应氟基气体。可以采用NF3、SF6或ClF3作为所用的氟基气体。在最佳实施例中,采用NF3。以等离子态将氢供应到舱中。当氢和氟基气体以等离子态供应时,NF3气体和氢等离子体的比设定为0.1-100,例如,此混合物与氧化膜也就是SiO2化学反应。在混合物遇到氧化膜的地方形成了反应副产物即(NH4)2SiF6。一旦反应层达到一定的厚度,反应层起化学反应阻挡层的作用。因此,化学反应停止。在供给气体和氧化膜之间的化学反应停止之后,进行退火工艺,从而使反应层汽化并排到舱的外面。为此,最好在100-500℃的温度进行20-600秒的退火处理,在沾污物例如天然氧化膜和表面氧化物被除去之后,在暴露的表面上形成与氢原子结合的钝化层。因此防止了半导体衬底表面的再氧化。
如果除去的氧化膜与天然氧化膜一样薄,可以在一个预处理清洁工艺的循环中除去该氧化膜。然而,根据要除去的氧化膜的厚度,可以重复进行预处理清洁工艺的两个步骤。
此外,在预处理清洁工艺中,在预处理舱中依次进行化学反应步骤和退火步骤。当采用图4的预处理舱时,可以在半导体衬底位于真空腔10的下部时完成化学反应步骤,退火步骤可以在半导体衬底位于其中已设置了UV灯54的真空腔的上部时完成。
参考图10,在真空中通过传送舱100,将已经进行了预处理清洁处理的硅衬底200从预处理舱140、150或160(图3)传送到图3的淀积舱170或180。然后形成后来的层(上层)220。可以采用各种导电金属膜作为上层220。
本领域普通技术人员可以理解,由于(1)利用等离子体在预处理舱中依次进行灰化工艺、残余物处理工艺和预处理清洁工艺,和(2)由于通过利用氧等离子和UV灯进行灰化工艺更有效地除去了光致抗蚀剂,因此不需要PR剥离工艺,与现有技术相比,本发明减少了处理时间和制造费用。
第二,由于采用氟基气体和氧来除去接触孔底部的损坏层,而不采用含碳气体,可以利用本发明来制造具有改进的电特性的半导体器件。即,本发明的残余物处理工艺使对暴露在接触孔底部的层的任何进一步损坏减至最小并不留下任何碳沾污。
第三,由于利用等离子干蚀代替传统的湿蚀进行预处理工艺,应用本发明不会使接触孔的轮廓变劣或留下水点。
第四,在工艺之间的时间内,由于预处理舱和淀积舱组合在一起并且通过可以保持真空的装置连接,因此本发明使衬底的沾污减至最小,并且防止了天然氧化膜的再生长。
尽管参考栅极图形之间的SAC的形成具体显示和描述了本发明,但本领域技术人员应理解本发明并不限于此,而且可以应用于半导体器件制造中的各种其它方法,例如形成金属和位线接触的方法。而且,本发明可以应用于在中间层中形成接触孔,所述中间层设置在包括硅的下层上,例如关于暴露多晶硅层或硅化物层的接触孔的形成。因此,落入附加的权利要求范围内的所有这些应用都应在本发明的实质精神之内。
Claims (29)
1.一种半导体器件的接触的制造方法,包括步骤:
提供半导体衬底,在该半导体衬底上,在包括硅的下层上形成了中间层;
利用光致抗蚀剂图形作为蚀刻掩膜,在中间层中形成接触孔,其中在接触孔的底部露出下层的表面;
将该半导体衬底装载到具有彼此相连的等离子预处理舱和淀积舱的装置中;
将半导体衬底传送到等离子预处理舱;
在等离子预处理舱中灰化光致抗蚀剂图形以便除去光致抗蚀剂图形;
接着在等离子预处理舱中除去下层表面的损坏层,该下层表面限定了接触孔的底部;
接着在等离子预处理舱中清洁该半导体衬底;
接着在真空中将半导体衬底传送到淀积舱中;和
在淀积舱中将填充接触孔的材料淀积到该衬底上。
2.权利要求1的方法,其中所述灰化、除去损坏层和预清洁的每个步骤都包括在预处理舱的外侧利用微波激励气体以便诱发等离子态,并且将该等离子态气体导向预处理舱中的衬底。
3.权利要求2的方法,其中所述灰化步骤包括:在预处理舱中加热衬底。
4.权利要求3的方法,其中所述加热的步骤包括:在预处理舱中产生UV光。
5.权利要求4的方法,其中所述灰化的步骤包括:在预处理舱外利用微波激励氮气和氧气以诱发等离子态,给预处理舱中的衬底供应等离子态的氮气和氧气。
6.权利要求5的方法,其中所述灰化的步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以10-2,000sccm的速率供应作为反应气体的氧气,用500-2,000W功率的微波激励这些气体,保持等离子预处理舱中的压力为0.1-10乇,将衬底的温度调节到20-300℃,以及利用工作在300-1,000W的功率的UV灯提供UV光。
7.权利要求1的方法,其中所述除去损坏层的步骤包括:在预处理舱的外侧利用微波激励氮气和氧气,以诱发等离子态,将等离子态的氮气和氧气以及氟基气体供应给预处理舱中的衬底。
8.权利要求7的方法,其中氟基气体为NF3。
9.权利要求8的方法,其中所述除去损坏层的步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以10-2,000sccm的速率供应作为反应气体的氧气,以及以30-300sccm的速率供应作为反应气体的NF3气体,用500-2,000W功率的微波激励该氮气和氧气,保持等离子预处理舱中的压力为0.1-10乇,将半导体衬底的温度调节到5-300℃。
10.权利要求1的方法,其中所述清洁步骤包括:将等离子态的氢气和氟基气体供应到衬底上,以便引起与包括硅的下层上的氧化物层发生化学反应,从而形成反应层,给反应层退火以便汽化该反应层。
11.权利要求10的方法,其中以气态供应所述氟基气体。
12.权利要求10的方法,其中所述氟基气体的供应包括:利用微波在预处理舱外激励氟基气体,以便诱发等离子态,将等离子态的氟基气体引导到衬底上。
13.权利要求10的方法,其中所述氟基气体选自由NF3、SF6和ClF3构成的组。
14.权利要求10的方法,其中所述清洁步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以5-200sccm的速率供应作为反应气体的氢气,以及以10-300sccm的速率供应作为反应气体的氟基气体,用500-2,000W功率的微波激励这些气体,保持等离子预处理舱中的压力为0.1-10乇,将衬底的温度调节到0-50℃。
15.权利要求10的方法,其中所述清洁步骤包括:当供应气体以引起所述的化学反应时,将半导体衬底定位在预处理舱的下部,当进行退火时,将该衬底定位在预处理舱的上部。
16.权利要求10的方法,其中所述退火包括将半导体衬底加热到100-500℃的范围内。
17.一种半导体器件的接触的制造方法,包括步骤:
提供半导体衬底,在该半导体衬底上,在包括硅的下层上形成了中间层;
利用光致抗蚀剂图形作为蚀刻掩膜,在中间层中形成接触孔,其中在接触孔的底部露出下层的表面;
在等离子预处理加工腔中,利用等离子和UV光灰化光致抗蚀剂图形,以便除去光致抗蚀剂图形;
接着在等离子预处理加工腔中,利用等离子和氟基气体除去限定接触孔底部的在下层表面上的损坏层,从而在所述表面上形成氧化物;
接着在等离子预处理加工腔中清洁半导体衬底以便除去所述氧化物,所述清洁包括:将与氧化物发生化学反应的等离子引导到表面上以形成反应层,给衬底退火以便汽化该反应层;
接着在真空中将半导体传送到淀积舱中;和
在淀积舱中将填充接触孔的材料淀积到该衬底上。
18.权利要求17的方法,其中所述灰化、除去损坏层和清洁中的每一步骤都包括利用微波激励气体。
19.权利要求17的方法,其中所述灰化步骤包括利用微波激励氮气和氧气,以诱发等离子态。
20.权利要求19的方法,其中所述灰化的步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以10-2,000sccm的速率供应作为反应气体的氧气,用500-2,000W功率的微波激励这些气体,保持等离子预处理加工腔中的压力为0.1-10乇,在该预处理加工腔中进行所述的灰化步骤,将衬底的温度调节到25-300℃,以及利用工作在300-1,000W的功率的UV灯提供UV光。
21.权利要求17的方法,其中氟基气体是NF3。
22.权利要求21的方法,其中所述除去损坏层的步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以10-2,000sccm的速率供应作为反应气体的氧气,以及以30-300sccm的速率供应作为反应气体的NF3气体,用500-2,000W功率的微波激励该氮气和氧气,保持预处理加工腔中的压力为0.1-10乇,在该预处理加工腔中进行除去所述损坏层的步骤,将半导体衬底的温度调节到5-300℃。
23.权利要求17的方法,其中所述清洁步骤包括:将等离子态的氢气和氮气以及氟基气体供应到衬底上。
24.权利要求23的方法,其中以气态供应所述氟基气体。
25.权利要求24的方法,其中所述氟基气体的供应包括:利用微波激励氟基气体,以便诱发等离子态。
26.权利要求25的方法,其中所述氟基气体选自由NF3、SF6和ClF3构成的组。
27.权利要求23的方法,其中所述清洁步骤包括:以10-2,000sccm的速率供应作为反应气体的氮气和以5-200sccm的速率供应作为反应气体的氢气,以及以10-300sccm的速率供应作为反应气体的氟基气体,用500-2,000W功率的微波激励这些气体,保持在其中进行所述清洁步骤的预处理加工腔中的压力为0.1-10乇,将衬底的温度调节到0-50℃。
28.权利要求17的方法,其中所述清洁步骤包括:当引发所述化学反应时,将半导体衬底定位在预处理加工腔的下部,当进行退火时,将该衬底定位在预处理加工腔的上部。
29.权利要求17的方法,其中所述退火包括将半导体衬底加热到100-500℃的范围内。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR70491/2000 | 2000-11-24 | ||
KR10-2000-0070491A KR100382725B1 (ko) | 2000-11-24 | 2000-11-24 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1356719A CN1356719A (zh) | 2002-07-03 |
CN1187796C true CN1187796C (zh) | 2005-02-02 |
Family
ID=19701322
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB011396091A Expired - Lifetime CN1187796C (zh) | 2000-11-24 | 2001-11-23 | 利用具有至少一个等离子体预处理舱的装置组制造半导体器件的接触的方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US6767834B2 (zh) |
JP (1) | JP2002222861A (zh) |
KR (1) | KR100382725B1 (zh) |
CN (1) | CN1187796C (zh) |
DE (1) | DE10157223B4 (zh) |
TW (1) | TW520553B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105244372A (zh) * | 2014-07-01 | 2016-01-13 | 东京毅力科创株式会社 | 对被处理体进行处理的方法 |
Families Citing this family (192)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002129334A (ja) * | 2000-10-26 | 2002-05-09 | Applied Materials Inc | 気相堆積装置のクリーニング方法及び気相堆積装置 |
KR100451034B1 (ko) * | 2002-08-27 | 2004-10-02 | 주식회사 테라텍 | 반도체 소자 제조방법 |
KR100475122B1 (ko) * | 2002-12-20 | 2005-03-10 | 삼성전자주식회사 | 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법 |
US20040129385A1 (en) * | 2003-01-02 | 2004-07-08 | International Business Machines Corporation | Pre-loaded plasma reactor apparatus and application thereof |
KR100524197B1 (ko) | 2003-04-29 | 2005-10-27 | 삼성전자주식회사 | 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법 |
KR100680944B1 (ko) * | 2003-05-27 | 2007-02-08 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
KR100968567B1 (ko) * | 2003-07-22 | 2010-07-08 | 삼성전자주식회사 | 다층 박막의 제조 방법 및 이를 이용한 박막 트랜지스터표시판의 제조 방법 |
US7371637B2 (en) * | 2003-09-26 | 2008-05-13 | Cypress Semiconductor Corporation | Oxide-nitride stack gate dielectric |
KR101050943B1 (ko) * | 2004-01-09 | 2011-07-20 | 매그나칩 반도체 유한회사 | 반도체 장치의 금속배선 형성 방법 |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7351663B1 (en) * | 2004-06-25 | 2008-04-01 | Cypress Semiconductor Corporation | Removing whisker defects |
JP4032058B2 (ja) * | 2004-07-06 | 2008-01-16 | 富士通株式会社 | 半導体装置および半導体装置の製造方法 |
US20060105561A1 (en) * | 2004-11-15 | 2006-05-18 | Yunjun Huh | Method of manufacturing a self-aligned contact structure |
US7162317B2 (en) * | 2005-02-25 | 2007-01-09 | Lam Research Corporation | Methods and apparatus for configuring plasma cluster tools |
KR100780045B1 (ko) * | 2006-03-06 | 2007-11-27 | (주) 디오브이 | 유기전계 발광소자 플라즈마 전처리 챔버 |
US8252640B1 (en) | 2006-11-02 | 2012-08-28 | Kapre Ravindra M | Polycrystalline silicon activation RTA |
CN101004559B (zh) * | 2006-12-21 | 2012-01-11 | 上海集成电路研发中心有限公司 | 一种增强光刻工艺中图形黏附力的方法 |
CN101504917B (zh) * | 2008-05-27 | 2011-08-31 | 深圳深爱半导体有限公司 | 防止vdmos管二次击穿的方法 |
CN102005372A (zh) * | 2009-08-31 | 2011-04-06 | 中芯国际集成电路制造(上海)有限公司 | 制作半导体器件的方法 |
CN102024681B (zh) * | 2009-09-11 | 2012-03-07 | 中芯国际集成电路制造(上海)有限公司 | 用于制造半导体器件的方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5374462B2 (ja) * | 2010-08-23 | 2013-12-25 | 東京エレクトロン株式会社 | 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
WO2013134127A1 (en) * | 2012-03-05 | 2013-09-12 | First Solar, Inc. | Method and apparatus for forming a transparent conductive oxide using hydrogen |
CN103456676A (zh) * | 2012-05-31 | 2013-12-18 | 无锡华润上华科技有限公司 | 接触孔硅凹槽蚀刻方法 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140042152A1 (en) * | 2012-08-08 | 2014-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Variable frequency microwave device and method for rectifying wafer warpage |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9865501B2 (en) * | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
JP6165518B2 (ja) * | 2013-06-25 | 2017-07-19 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法および真空処理装置 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
JP6163442B2 (ja) * | 2014-03-05 | 2017-07-12 | 株式会社東芝 | 半導体製造装置及び半導体装置の製造方法 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299557B2 (en) | 2014-03-19 | 2016-03-29 | Asm Ip Holding B.V. | Plasma pre-clean module and process |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9831183B2 (en) | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9474163B2 (en) | 2014-12-30 | 2016-10-18 | Asm Ip Holding B.V. | Germanium oxide pre-clean module and process |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10373850B2 (en) | 2015-03-11 | 2019-08-06 | Asm Ip Holding B.V. | Pre-clean chamber and process with substrate tray for changing substrate temperature |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
KR102570269B1 (ko) * | 2016-07-22 | 2023-08-25 | 삼성전자주식회사 | 전세정 장치 및 기판 처리 시스템 |
KR101868478B1 (ko) | 2016-08-17 | 2018-07-23 | 주식회사 아이씨케이 | 비접촉식 카드 기능을 갖는 메탈 카드 |
KR102005042B1 (ko) | 2016-08-17 | 2019-07-29 | 주식회사 아이씨케이 | 강화된 강도를 갖는 비접촉식 카드 기능을 갖는 메탈 카드 및 그에 사용되는 금속판 어셈블리, 그리고 그들의 제조 방법 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US20180261464A1 (en) * | 2017-03-08 | 2018-09-13 | Tokyo Electron Limited | Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN109979806B (zh) * | 2017-12-26 | 2021-04-30 | 中国科学院苏州纳米技术与纳米仿生研究所 | 兼容真空环境的图形转移的方法及*** |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP7169866B2 (ja) * | 2018-12-14 | 2022-11-11 | 東京エレクトロン株式会社 | 基板処理方法 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200144186A (ko) | 2019-06-17 | 2020-12-29 | 유인종 | 페라이트를 이용한 비접촉식 메탈 카드용 인레이 제조방법 및 카드 제조 방법 |
KR20200145883A (ko) | 2019-06-19 | 2020-12-31 | 유인종 | 양면 이용 가능한 메탈카드용 미니인레이 및 이를 이용한 메탈카드 |
KR102308861B1 (ko) | 2019-11-28 | 2021-10-06 | 유인종 | 비접촉식 메탈카드 및 그 제조방법 |
CN113053744B (zh) * | 2019-12-27 | 2024-03-22 | 株式会社日立高新技术 | 半导体装置的制造方法 |
KR102669097B1 (ko) | 2021-06-17 | 2024-05-23 | 아이씨케이 인터내셔널 잉크 | 비접촉식 카드 기능을 갖는 메탈 카드 및 그 제조방법 |
KR102613064B1 (ko) | 2023-08-14 | 2023-12-12 | 주식회사 티아이씨피 | 듀얼 인터페이스 기능을 갖는 메탈 카드 및 그 제조방법 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2814021B2 (ja) | 1990-07-09 | 1998-10-22 | 三菱電機株式会社 | 半導体基板表面の処理方法 |
KR920007124A (ko) * | 1990-09-04 | 1992-04-28 | 김광호 | 폴리 에미터 바이폴라 트랜지스터의 제조방법 |
JP3084497B2 (ja) * | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
JPH0799178A (ja) * | 1993-09-28 | 1995-04-11 | Fujitsu Ltd | 半導体装置の製造方法 |
JP3529849B2 (ja) * | 1994-05-23 | 2004-05-24 | 富士通株式会社 | 半導体装置の製造方法 |
JP2978748B2 (ja) * | 1995-11-22 | 1999-11-15 | 日本電気株式会社 | 半導体装置の製造方法 |
KR19980077122A (ko) * | 1997-04-16 | 1998-11-16 | 김영환 | 반도체 장치의 콘택홀 형성 방법 |
GB9715013D0 (en) * | 1997-07-17 | 1997-09-24 | Cambridge Consultants | Liquid feed bottle |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6346489B1 (en) * | 1999-09-02 | 2002-02-12 | Applied Materials, Inc. | Precleaning process for metal plug that minimizes damage to low-κ dielectric |
US6228563B1 (en) * | 1999-09-17 | 2001-05-08 | Gasonics International Corporation | Method and apparatus for removing post-etch residues and other adherent matrices |
US6492272B1 (en) * | 2001-02-15 | 2002-12-10 | Advanced Micro Devices, Inc. | Carrier gas modification for use in plasma ashing of photoresist |
-
2000
- 2000-11-24 KR KR10-2000-0070491A patent/KR100382725B1/ko active IP Right Grant
-
2001
- 2001-10-25 US US09/983,790 patent/US6767834B2/en not_active Expired - Lifetime
- 2001-11-08 TW TW090127753A patent/TW520553B/zh not_active IP Right Cessation
- 2001-11-15 JP JP2001349915A patent/JP2002222861A/ja active Pending
- 2001-11-22 DE DE10157223A patent/DE10157223B4/de not_active Expired - Lifetime
- 2001-11-23 CN CNB011396091A patent/CN1187796C/zh not_active Expired - Lifetime
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105244372A (zh) * | 2014-07-01 | 2016-01-13 | 东京毅力科创株式会社 | 对被处理体进行处理的方法 |
CN105244372B (zh) * | 2014-07-01 | 2018-05-15 | 东京毅力科创株式会社 | 对被处理体进行处理的方法 |
Also Published As
Publication number | Publication date |
---|---|
US6767834B2 (en) | 2004-07-27 |
KR20020040445A (ko) | 2002-05-30 |
DE10157223B4 (de) | 2006-11-16 |
TW520553B (en) | 2003-02-11 |
JP2002222861A (ja) | 2002-08-09 |
DE10157223A1 (de) | 2002-06-27 |
KR100382725B1 (ko) | 2003-05-09 |
CN1356719A (zh) | 2002-07-03 |
US20020064944A1 (en) | 2002-05-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1187796C (zh) | 利用具有至少一个等离子体预处理舱的装置组制造半导体器件的接触的方法 | |
JP4871444B2 (ja) | 酸化膜除去法及び酸化膜除去のための半導体製造装置 | |
JP3815937B2 (ja) | 半導体装置のコンタクトホール埋め込み方法 | |
KR100377672B1 (ko) | 반도체장치및그제조방법 | |
EP0720212B1 (en) | Method of manufacturing semiconductor devices | |
EP1081751A2 (en) | Methods of pre-cleaning dielectric layers of substrates | |
KR20010076979A (ko) | 실리사이드막을 구비한 반도체소자의 제조방법 | |
KR20080109886A (ko) | 손상된 유전체 재료를 제거하는 제거 방법 | |
CN1750234A (zh) | 形成半导体器件精细图形的方法及用其形成接触的方法 | |
CN1606138A (zh) | 能防止湿式清洁过程导致之损坏的半导体装置的制造方法 | |
KR100360399B1 (ko) | 반구형입자(hsg)막을 구비한 반도체소자의 제조방법 | |
US5981373A (en) | Semiconductor device, method for manufacturing the same, apparatus for manufacturing the same | |
KR100470973B1 (ko) | 고밀도 플라즈마 화학기상증착 공정 | |
US6979633B2 (en) | Method of manufacturing semiconductor device | |
TWI793115B (zh) | 絕緣膜之成膜方法、絕緣膜之成膜裝置及基板處理系統 | |
KR100593740B1 (ko) | 반도체 자연산화막 제거방법 | |
JP3371188B2 (ja) | 絶縁膜の成膜方法 | |
KR100327349B1 (ko) | 반도체 소자의 이중 게이트 산화막 형성 방법 | |
KR100239731B1 (ko) | 반도체 제조공정에서의 무기층 형성방법 | |
US7226875B2 (en) | Method for enhancing FSG film stability | |
US6551442B2 (en) | Method of producing semiconductor device and system for producing the same | |
JPS62274082A (ja) | ドライエツチング方法 | |
KR19990075646A (ko) | 전세정 공정을 수반하는 반도체 장치의 커패시터 형성방법 및이에 이용되는 챔버 장비 | |
JPH08250492A (ja) | 半導体装置の製造方法 | |
KR19990059184A (ko) | 반도체장치의 콘택 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C06 | Publication | ||
PB01 | Publication | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term | ||
CX01 | Expiry of patent term |
Granted publication date: 20050202 |