TW486771B - Conformal thin films over textured capacitor electrodes - Google Patents

Conformal thin films over textured capacitor electrodes Download PDF

Info

Publication number
TW486771B
TW486771B TW089125655A TW89125655A TW486771B TW 486771 B TW486771 B TW 486771B TW 089125655 A TW089125655 A TW 089125655A TW 89125655 A TW89125655 A TW 89125655A TW 486771 B TW486771 B TW 486771B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
scope
metal
dielectric
Prior art date
Application number
TW089125655A
Other languages
English (en)
Inventor
Ivo Raaijmakers
Suvi P Haukka
Ernst H A Granneman
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW486771B publication Critical patent/TW486771B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

486771 A7 B7 7017pif.doc/008 五、發明說明(I ) 本發明是有關於在下電極結構上形成薄膜,且特別是 有關於提供高介電常數的介電質,且在具有半球形矽 (hemispherical grain,HSG)的記憶胞下電極上形成接近完 全共形的上電極。 當製作積體電路時,會沈積與定義絕緣層、導電層與 半導體材料,以構成預期的電路,許多類型的電路結合電 容器,其中每一電容器包括一層介電層夾在兩片板或電極 之間,記億晶片像是動態隨機存取記憶體(DRAM)分別使 用電容器用以在記億胞中儲存電荷,每個記憶胞可以表示 一位元的資料,其中電容器可以充電或放電以表示邏輯狀 態。 在半導體業界的趨勢中,積體電路不斷的縮小以追求 更快的操作速度與消耗低的功率,當記億晶片的封裝密度 持續增加時,在更擁擠的記憶胞中的每一個電容器必須還 能夠維持一個最低的電荷儲存量,以確保不需要外的更新 週期仍保有記憶胞的操作可靠度,因此隨著電路設計的發 展,電容器設計可以在分配給每一個記憶胞的縮小晶片區 域中儲存更多的電荷,因此必須發展相關的技術以在給定 的區域中增加記憶胞電容器的所有電荷容量。 儲存在電容器中電荷的量與靜電容量,C=kkQA/d,成正 比,其中k表示位於兩個電極之間的電容器介電質的介電 係數或是介電常數,I表示真空的介電係數,A爲電極的 表面積,而d表示電極之間的間隔,也表示內電極介電質 的厚度。早期的技術均鎖定在利用堆疊電容器或溝渠電容 4 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---------· 經齊郎智慧財產局員二消費合阼社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(>) 器中產生的摺疊結構來增加電極的有效表面積’溝渠電容 器係形成在半導體基底中,基底中會有電晶體,而堆疊電 容器則形成在電晶體上’這樣的結構是利用電極與電容器 介電質的三度空間之外形來利用晶片區域。 第1A圖介紹一種堆疊電容器記憶胞10的例子’其中 電容器係位於半導體基底12上方’對業界來說這種電容 器設計是已知的,稱爲”stud”電容器,首先形成包括堆疊 在基底12上的閘極14與在基底12中有重慘雜主動區16 的電晶體,穿過一層覆蓋在電晶體上方的絕緣層20形成 一個接觸18,此接觸18會形成在絕緣層20上的電容器11 之下電極或儲存電極22電性連接’在下電極22上塗佈上 一層薄的電容器介電層24 ’且在電容器介電質24上形成 一層上電極26。 第1B圖爲一個例子,介紹一種具有不同堆疊電容器 設計的記憶胞l〇a,相似的部分係利用相同的標號來表示’ 在第1B圖中,在基底12上有一個電容器11a,此基底12 包括有絕緣層20覆蓋之電晶體,其中電容器Ha具有一 個柱狀輪廓,其中下電極22a利用接觸18與下層的電晶 體電性連接,柱狀結構可以提供較大的表面積。如圖所示’ 其內表面與外表面被暴露出來,因此下電極22a甚至比第 1A圖中的電容器U之下電極22具有更大的有效表面積, 將一層薄的電容器介電層24a塗佈在下電極22a上,然後 在電容器介電質24a上覆蓋一層上電極26a。所謂的”冠狀” 結構與第1 b圖中介紹的柱狀電容器11a相似’但是更進 5 1本紙張尺度適用國家標準(CNS)A4規格(210 X 297公釐) ' (請先閱讀背面之注意事項再填寫本頁) a mmmmg IB1 ·ϋ 1 ·ϋ ϋ 一 0,· I ·1 I 1B_— 11 1 .doc/002 扣55號說明書修正頁 A7 B7 修正日期91/3/21 經濟部中央標準局貝工消費合作社印裝 五、發明説明(> ) 一歩包括多個同心的圓柱體,其他的堆疊電容器設計均在 半導體基底上有類似蕈狀外形、鰭狀結構、接腳以及其他 各種複雜的結構。 第2圖與第1A圖與第1B的堆疊電容器相反,是介紹 一種結合溝渠電容器31的記憶胞30,其中溝渠電容器31 大部分位於半導體基底32中。就像前述_的堆疊士電容器 一樣,在基底32上有一個包括閘極結構34的電晶體,而 在基底32中有一個重摻雜主動區,汲極區(其中一個主動 區36)與電容器31的下電極或儲存電極42電性連接,利 用摻雜或其他方法使在半導體基底32的溝渠之側壁導電, 以形成此下電極42,利用溝渠的側壁提供一個大面積的下 電極42,將一層薄的電容器介電層44塗佈在下電極42上, 而且形成一層上電極或參考電極46覆蓋在介電質44上。 無論如何,僅僅利用這樣的結構來增加記憶胞的電容 量會在增進記憶晶片電路設計時變的不切實際,堆疊電容 器的表面積理論上可以利用增加下電極的高度來增加,相 同的,溝渠電容器的深度也幾乎可以增加到與基底厚度相 近的程度,但是很不幸的,在積體電路上的高度與深度特 性上都會有其限制,對熟習此技藝者來說均知,很難利用 傳統的沈積技術在階梯高度大的地方進行共形的塗佈、形 成線或塡滿,此外後續晶片的表面狀態也對後續光學微影 製程有不利的影響。 另外’僅以電容窃的问度或ί朱度來看’利用在下電極 的大結構摺疊狀態下提供結構的或是粗糙的表面,其微結 6 本紙張尺度適用中國國家標隼(CNS〉Α4規格(21〇χ297公羡) (請先閱讀背面之注意事項再填寫本頁) 、1Τ- 486771 缝濟部智慧財產局員工消費合作祍印製 A7 7017pif.doc/008 五、發明說明(屮) 構可以進一步的增加電容器電極的表面積,比如利用沿著 晶粒界面優先蝕刻的方法可以使複晶矽導電材料變的粗 糙,比如揭露於由Han等人發明美國第3,405,801號專利 中之技術,或是Hkota等人發明之美國第5,372,962號專 利,裡面提到不同的選擇性蝕刻以穿過多晶矽層的方式。 另一種型態的電極結構技術包括半球形矽晶粒(HSG) 的行程,習知有一些形成HSG的方法,包括直接沈積用 以在成核的位置上選擇性的沈積多晶矽,以及對非晶矽進 行回火以重新分配,用熱能使矽原子在表面上移動並在成 核位置上聚集成塊。第1A圖與第1B圖顯示在下電極22, 22a的基本堆疊或柱狀結構上有HSG的微結構28, 28a, 以增加電極的有效表面積,同樣的,第2圖的下電極42 也包括一層HSG層來增加其電極表面積。 爲了完全了解粗糙的下電極表面積增加的優點,電容 器的介電層應該與下電極的表面共形當介電層厚度(上面 電容量計算式中定義的“d”)應該盡可能的小以使電容量達 到最大,但是太薄的電容器介電層會有電容器電極漏電的 可能,漏電流可能來自於介電層的小洞或是量子的隧穿效 應,兩者都更可能在薄的介電層中發生,薄的電容器介電 層因此具有低的崩潰電壓,在崩潰漏電發生以前下電極中 儲存的電荷即會因此受到限制,因此電容器介電質必須根 據選擇的介電材料有一定的最小厚度限制以避免崩潰發 生。 參照第3圖,其繪示爲一個HSG層50的放大圖,層 7 本&^度適用中Θ國家標準(CNS)A.l規格(210 ^97公t ) (請先閱讀背面之注咅?事項再填寫本頁) _裝--------訂---------· Μ
(oc/002 A7 B7 五、發明説明(ir) 52包括在導電基底Μ上的半球型係晶粒52的導電摻雜複 晶砂(複晶砂或單晶),其中晶粒52的精粒_尺寸約爲50埃 至750 ί矢。利用CVD在HSG層52上沈積一層介電層56, 使用的介電質包括一般·的介電材料,像是氧化矽(si〇2)以 及/或氮化矽(Si3N4),這些都是熟知日容易與一罕製程整合 在一起的材料,舉例來說,這些材料的CVD也被發展的 很好了。 如圖所示,利用CVD沈積的介電層56共形的覆蓋在 HSG層50的表面上,但是因爲各種因素,習知的CVD製 手壬並無法在局粗糖表面結構’像是H S G,上形成完全共形 的介電質,CVD本來就會在表面的不同工作區域上表現出 不同的沈積速率,而區域的不均勻溫度,特別是大區域像 是300-mm的晶圓,更會影響CVD層的厚度均勻度;而 因爲反應器的設計,反應劑的濃度變化、氣體流動動力與 消耗的影像,同樣會影響大工作區域的厚度均勻度,基於 這些及其他的問題,利用傳統的CVD無法完全在事先控 制成長速度與共形度。 經濟部中央標準局員工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) -%v. 以工作區域的變化來看,不均勻度也會造成微小的粗 糙表面,且特別在彼此相鄰或交錯的鄰近晶粒52之間的 連接區域60,介電層橋接适些鄰近晶k 52並在追些晶粒 的頂端表面61上產生一層相當厚度的介電質。在一些情 況中,凹陷的外形62會發生在緊密的或是蕈狀晶粒52之 間,在這些結構的連接區域60之介電質CVD會完全塡滿 這些晶粒52之間的連接區域,或是當介電質密合時在這 ___ 8 本紙張尺度適用中國國家標隼(CNS〉A4規格(210X297公釐) 486794— 修正wt: :/002 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(/;) 些晶粒52之間留下空隙64,在這些情況中,上電極無法 共形的覆蓋在這些晶粒52的表面上,因此晶粒52的下部 分將無法提供有效的電容量。 假如在HSG之間的連接區域60中沈積最小厚度之介 電質56,位於頂端表面的介電質會太薄,且可能會導致漏 電而使資料錯誤,因使介電質56的最小厚度必須要求亂 在晶粒52的頂端表面61不會有漏電,在晶粒52連接區 域60的介電層56因此會較理論上的要求要厚,而因此降 低了電容量。由另外一方面來看,橋接在連接區域60的 介電質會損失HSG晶粒52下部的表面檳,因此無法完全 達到H S G的優點。 因爲有這些在增加電極表面稹·的限制,許多硏究便轉 而均著重在增加電容器介電質的介電常數(k),有太多的石开 究已鎖定在硏發具有高k値的新介電材料,高k値材料包 括氧化鋁(ΑΙΘ3)、氧化鉬(Ta2〇5)、鈦酸緦鋇(BST)、欽酸 鍊(ST)、欽酸鋇(BT)、駄酸銷給(PZT)、與组酸緦鉍(sbt), 這些材料的特性就是其介電常數很明顯的高於傳統的介電 質(像是氧化砂或氮化物)。雖然二氧化砂的k等於3.9,這 些新材料的介電常數範圍卻可以由10(氧化銘)到 300(BST) ’有一些甚至更局(600到800),使用這些材料可 以很有效的增加電容量。 此外,電容器介電質的k値的顯著增加使得利用較小 且簡單的電容器設計就可以滿足其對電荷儲存之要求,電 容器的表面積需求的減少可以簡化積體電路製程,且可以 ------ 9 尽紙張尺度適用中國國家標準(CNS ) M規格(21〇><297公釐) (請先閲讀背面之注意事項再填寫本頁) Γ 經濟部智慧財產局員工消費合作社印製 486771 A7 7017pif.doc/008 B7 五、發明說明) 使未來的電路設計有更高的封裝密度。 無論如何,要將高k材料結合進傳統的製程會有一些 困難,一些材料,像是Ta205、BST與其他”奇特(exotic)” 的材料,均傾向伴隨有高氧化性、高溫沈積與預先沈積回 火等條件,會使傳統的電極材料氧化,且甚至將氧擴散到 下方的電路元件中。其他材料,像是Zr〇2與Ti02,具有 很不規律的性質,會隨著製程的條件變化。 有鑑於此,需要更有效的方法來增加積集度高的記憶 胞之儲存電容量。 爲了滿足此需求,在此提供方法以在粗糙的下電極表 面上沈積介電質與上電極材料,其優點在於這些方法可以 達到高的共形度,因此僅需要在整個表面上成長一層必要 最小厚度的襯層即可,這些方法可以在維持矽電極的有利 條件下於HSG上沈積高介電常數(高k)的材料。 通常’這些方法包括反應物階段的交替變化的循環, 其中每一個像具有自我限制的效果,利用吸附自我終止金 屬或矽合成物的單層,經過根基交換(ligand-exchange)反 應可以製作介電常數高於1〇的金屬氧化物與三元材料, 在吸附的金屬或矽的合成物上的根基接著會被含氧的片對 給移除掉,留下OH基與用於橋接鹵化物或有基單層的氧。 有一些例子用來說明簡單二元金屬氧化物、三元材料像是 金屬砂酸鹽與包括不同組成的介電層的極薄的結構。 有利的是,這些方法可以在HSG層上形成均勻厚度的 介電層’而且盡可能的薄到不會由此介電質產生漏電流; ------*------装-------—訂---------m, (請先閱讀背面之注咅?事項再填寫本頁)
486771 A7 B7 7017pif.doc/008 五、發明說明($ ) 此外’這些方法容易將高k材料與具有高的袠面積粗糖之 電極作結合,可因此達到最大的電容量,更可進一步的在 沒有損失可靠度的情況下降低其臨界尺寸。 相似的結構也可以用來在共形的介電層上形成上電極 材料,這裡的例子以金屬氮化物遮蔽層作爲基礎的金屬 層,接著利用較佳的方法來沈積薄且共形的導電層,傳統 減少其共形度的沈積方法可以在沒有減少電容量的情況下 達到期預定的上電極厚度,利用較佳的方法形成之共形的 電容器介電質與上電極因此可以提供粗糙下電極增加之表 面積所帶來得完全優點。 爲讓本發明之上述目的、特徵、和優點能更明顯易懂, 下文特舉一較佳實施例,並配合所附圖式,作詳細說明如 下: 圖式之簡單說明: 第1A圖繪示爲一種具有HSG的堆疊電容器記憶胞之 結構剖面圖; 第1B圖繪示爲另一種具有HSG的柱狀電容器記憶胞 之結構剖面圖; 第2圖繪示爲一種具有HSG的溝渠電容器記憶胞的結 構剖面圖; 第3圖繪示爲以傳統的化學器想沈積法形成介電層之 具有HSG的電容器之局部放大圖; 第4A圖爲在HSG上形成共形的介電層的一種記憶胞 製造方法的步驟流程圖; 11 本级成尸、度適用中國國家標準(CNS)A4規格(210x297公釐) -----------裝--------訂--— — — — — — · (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(q ) 第4B圖係介紹在HSG上形成共形的三元介電材料的 記憶胞製造方法的更詳盡的流程圖; 第5圖爲根據本發明一較佳實施例的一種用於沈積二 元介電層的氣體供應流程圖; 第6圖爲根據本發明另一較佳實施例的一種用於沈積 三元介電層的氣體供應流程圖; 第7圖爲一個記憶胞電容器之局部結構剖面圖,其中 包括一層共形極薄的介電質覆蓋在HSG層上; 第8圖爲一個已進行部分製程的記憶胞電容器之局部 結構剖面圖,其中包括一層共形極薄的介電質覆蓋在一層 阻障層與HSG層上; 第9圖爲一個已進行部分製程的記憶胞電容器之局部 結構剖面圖,其中包括一層極薄結構的介電質覆蓋在HSG 層上;以及 第10圖爲一個具有HSG下電極的記憶胞電容器之局 部結構剖面圖,其上有分別有一層共形極薄的介電質與一 層共形的阻障層。 圖示標記說明: 10, 30 記憶胞 14, 34 間極 20 絕緣層 11,11a,31 電容器 22, 22a,40, 300 下電極 24, 44, 56, 302, 302a〜302c 介電質 12 -----£------.11^ 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 12, 32, 54 基底 16 重摻雜主動區 18 接觸 本紙張玟度適用中國國家標準(CNS)A,1規格(210 X 297公釐) 486771
五、發明說明(p) 經濟部智慧財產局員工消費合作社印製 26, 26a,46, 308, 310 上電極 28, 28a,50, 52, 304 HSG 306 阻障層 60 連接區域 61 上表面 62 凹陷的外形 64 空隙 100〜108a,204〜223a 製程步驟 實施例 雖然在文中的敘述中有提到較佳的材料,但是熟習此 技藝者可由此說明書的揭露,運用各種其他適當的材料, 作爲粗糙表面上的電容器介電質,應用於本發明的方法與 結構中,此外,本發明雖然是在介紹記憶胞儲存電容器: 熟習此技藝者也可以根據本發明的敘述將此方法應用在其 他粗糙的電極上,像是電力可抹除可程式唯讀記憶n (EEPROM)元件。 如上面所述的技術部亨,可以知道由傳統CVD方法塗 佈的電容器電極,且特別是有HSG的電極結構較不具有 完全的共形性。當許多硏究致力於在具有階梯高度i的半 導體上得到更共形的階梯覆蓋能力時,很難在這種結構的 所有表面上提供相同濃度的的沈積成分,且特別的是,很 難在HSG晶粒的上表面提供與晶粒之間的連接區域相同 濃度之沈積成分,此問題再利用不平的電極形成三度空間 的摺疊結構,像是如第1A圖與第2圖中的堆疊式或溝渠 式的設計甚至是第1B圖中所示具有內部空間的結構,時 會更顯的嚴重,因此利用HSG增加表面積的優點無法完 全發揮。 13 --------------------訂--------- Γ%先閱讀背面v>i意事項再填寫本頁) 本紙張K度適用中國國家標準(CNS)A4規格(2j〇x297公釐〉 486771 A7 B7 7017pif.doc/008 五、發明說明(丨' ) 藉著提供幾乎完美的階梯覆蓋能力,較 達到在HSG晶粒的所有表面上製作預期電容器介電層的 最小必要厚度,理想上較佳實施例中的方法與立,也/就 是有限的晶粒交叉處的反應物成份的相關濃度之彳目_彳生平艮 低。 此外,較佳實施例提供沈積高k材料的方法,可以與 高表面積不平坦的表面與電極作結合,其中最常用來產^ 微結構面不平坦的比如爲HSG技術。'相較於目前存在於 三度空間堆疊結構的高表面積與高k介電質之間的一個選 擇’本發明的較佳實施例可以使用兩種技術在—個可具有 高產能製程下達到非常高的電容量。 較佳實施例提供一種方法來沈積氧化錦(ks 1 〇)、氧化 锆(kel2-20)、氧化鈦(k»20-50)、混合的錯矽氧化物(kd_ 13) ’以及利用組合上述成分形成之極薄結構的介電質, 同樣的也提供方法在介電層與HSG層上沈積電極材料, 這些材料的形成均使用與HSG相同的方法,加上產生幾 乎完美的共形性。因此,較佳實施例不僅利用高k介電材 料’還完全利用到HSG結構增加的表面積之優點所增加 的電容量,如此即使在高寬比大的結構,像是堆疊式或是 溝渠式電容器設計中也可以得到共形結構。 較佳實施例中的製程進一步的優點可以由下列的說明 來了解。 脸成形電容器介雷質的方法 第4A圖說明一種電容器介電層的形成方法,且具有 14 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨丨 *—^------·裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(丨π) 高的階梯覆蓋力,較適當的方法是先形成一層原子的沈積 層(atomic layer deposition,ALD),其中在一個循環中在交 替的脈衝下將反應物提供到工作區域上,其中每一個循環 矽利用吸附的方式,更恰當的是利用化學吸附的方法形成 不超過一層的材料,將基底溫度維持在一個容易化學吸附 的範圍中,且特別是將基底溫度維持在足夠低到維持吸附 的化合物與下層表面之間的鍵的完整.,且可以避免前趨物 的分解。換句話說,將基底溫度維持在一個夠高的程度, 以避免反應物的濃縮且在每一個階段中提供預期表面反應 的活化能量。當然,對於每個給定的ALD反應之適當的 溫度範圍繪與其表面結果與反應物以及其中的反應物成分 有關。 每一個脈衝或每一個循環的階段較佳是在效果上會自 限,在下面提到的進一步範例中,每一個階段均會自我終 止(比如吸附且特別是化學吸附的單層會使表面與階段中 的化學品沒反應),在每一個階段中供應過量的反應前趨 物使其節透表面飽和,表面飽和可以確保反應劑會佔據所 有可以反應的位置(依物理尺寸控制而定,在下面將會有 更詳細的敘述)’當自我終止因爲長期暴露在反應物下而 有過度的薄膜成長時,透過化學吸附,像是自我終止化學 物的有計劃的飽和利用可以確保絕佳的階梯覆蓋性。 在形成介電層之前,首先會製作積體電路的部分結構, 以構成電容器下電極,通常下電極在記憶胞中是作爲一個 儲存電極,爲了達到本文的目的,下電極表示第一個形成 15 本紙張尺度適用中國國家標準(CNS)A4規格(21〇x的7公t) (請先閱讀背面之注意事項再填寫本頁) ▼裝--------訂---------· 經濟部智慧財產局員工消費合作社印製 486771 A7 7017pif.doc/008 B7 五、發明說明(ο ) 的電容器電極或電板,不論其在整個積體電路中是否用以 作爲儲存或參考板。 如第4A圖所示,利用二元材料作爲範例的製程開始 爲在積體電路中下電極結構的形成步驟1〇〇,基本的下電 極結構可以是平面的丨像是EEPROM元件中的浮置閘, 較佳的是下電極爲具有較大表面積的三度空間摺疊結構, 更適當的是電容器下電極爲堆疊電容器的型態,如第1A 圖中所示的堆疊結構或是第1B圖中所示的柱狀結構。對 熟習此技藝者來說很容易瞭解本發明揭露的方法也可以應 用在其他的堆疊電容器設計上,像是冠狀、鰭狀結構、梳 狀、接腳狀等。在另一個排列結構中,電容器下電極乃利 用導電摻雜以及/或將在半導體基底中的溝渠之側壁佈滿 導電性,如第2圖所示。 在形成下電極的微結構以後,不論是堆疊式或是溝渠 式的結構,下電極接著會進行步驟101,將一個不平或粗 糙微結構碟在三度空間的摺疊形撞上,進一步增加下電極 的表面積,較佳的形式爲形成一層HSG層。 在一個製作範例中,係在ASM,International,Inc.的一 個商標名爲A600 UHVTM下販售的系統下進行,利用非晶 矽的沈積、長晶、重分配回火來形成HSG層,三度空間 摺疊結構上會形成或塗佈有一層非晶砂,當在提到的實施 例中的一些步驟中,非晶矽沈積可以與接下來的步驟在同 一個反應室中進行,摺疊的非晶矽結構會在將晶圓傳送到 A600 UHV系統以前就形成。 16 本紙张尺度適用中國國家標準(CNS)A‘l^i7210 X 29Y公t ) (請先閱讀背面之注意事項再填寫本頁) -裝--------訂---------·- 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(丨屮) 在一個適當的反應器中,非晶矽表面會長晶,在提到 的實施例中,當反應器壓力降到約爲1〇·5Τοπ*的等級時, 溫度會被提升到約爲攝氏5 50度至5 75度之間的範圍內(比 如爲攝氏560度),在實施例中長晶的氣體爲甲砂院,其 矽甲烷之分壓爲4*10_όΤοιτ。在長晶以後,經過設計可以 改變其密度,接續在預定的溫度下進行熱回火,以使非晶 矽移動重分配,矽原子的傾向是藉著矽甲烷向長晶或成核 的位置聚集,整個過程度大槪需要約10分鐘的時間,而 整個系統則需要120分鐘,包括溫度上升,長晶與回火。 對於熟習此技藝者來說可以輕易的應用其他技術來製作 HSG 層。 由上述過程製作的HSG晶粒尺寸範圍在約爲50埃至 750埃,較正常的範圍約爲300埃至500埃,製程條件會 影響成核密度與HSG晶粒尺寸.,通常會將製程條件設定 在使形成的晶粒夠大,但彼此之間互相分離的。這樣的設 y: 計可以使電極的表面積達到最大,在這樣的限制中可以使 接下來大部分的介電質與上電極層可以固定在晶粒之間。 由第7圖至第1〇圖的局部放大圖來看,因爲隨機的長晶 過程,會使晶粒隨機的覆蓋在一些位置上,此外,適當的 介電質、與上電極層可以用的較緊密,較少分開的晶粒,因 此可以增加有用的表面積。 對熟習此技藝者來說,下電極較佳是在動態隨機存取 記憶體(DRAM)陣列中用以作爲記憶胞之儲存電極,在製 作以後,下電極通常會與其他陣列中的記憶胞之下電極區 17 本紙張&度適用中國國家標準(CNS)Al規格(210 x 297公髮) (請先閱讀背面之注意事項再填寫本頁) ,裝—— ------訂---------: 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(以) 隔開,如第1A圖、第1B圖與第2圖所示。 接著將如此形成的下電極結構以高階梯覆蓋率進行塗 佈,將介電層覆蓋於其上,介電層是利用一個週期性的製 程來製作,其中每一個週期因爲有自我限制的作用,因使 不會形成超過一層的介電材料,每一個週期包括至少兩個 個別的階段,其中每一個均爲飽和反應,比如自我限制, 而留下不會超過一個原子層的介電材料。 假如有必要,下電極暴露出來的表面(例如實施例中的 HSG層)會在終結步驟1〇2中與ALD製程的第一個階段 反應,在金屬氧化物實施例的第一階段(請見表1至¥)會與 氫氧基(OH)或氨(NH3)端有反應,在下面的討論中,hsg 的石夕表面將不需要個別的限制,暴露在一個潔淨室的環境 下會產生原生的氧化層,因爲環境中自然存在的〇H基。 當HSG是在介電層形成以前在一連串工具中同步形成, 可能會將HSG暴露在H20的蒸氣下,就像是表面處理或 終結步驟102。在其他的安排中(請見下面表η、m以及第 8圖的討論),金屬氧化物也可以直接形成在氮化矽上,而 不需要個別的終止步驟,也就是說,HSG層的氮化可以提 供類似終止步驟102的功效。 在開始表面的限制以後102,假如有必要,接著在步 驟104中將第一化學品供應到工作區域中,根據本發明的 金屬氧化物實施例,將會參照第5圖更詳盡說明於下。第 一化學品包括一種含有金屬的化合物,會與前面提到的步 驟102之終端表面反應,因此金屬化合物會透過根基(iigan(i) ------ί------41^ 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 18 486771 A7 B7 7017pif.doc/008 五、發明說明( 的交換而取代終端表面,最後含有金屬的單層結構會自我 終止,因此任何過多的第一化學品的結構不會進一步的與 單層結構反應,其中構成含有金屬的單層結構的較佳材料 爲鹵基或是有機根。 含有金屬的反應片段較適合以氣體的方式提供,且在 後續的說明中以金屬氣體源來稱呼。在步驟106中將第〜 化學品由反應室移出,在實施例中,步驟106僅停止第一 化學品的流動,而繼續供應載氣一段時間,以散佈或排除 過多的反應物,並將反應副產物排出反應室,通入排除用 的氣體的量大槪爲兩倍以上的反應室體積,最好是可以到 達三倍以上的反應室體積的氣體量。在實施例中,步驟106 在停止輸送第一化學品以後,約進行0.1秒至20秒左右的 氣體排除程序,在1999年九月八日提出之美國專利申請 號 09/392,371 的,,IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM”申請案中有提到在跳動 之間的移除程序,其中揭露的內容可以作爲參考,在其他 方面,反應室在輪流輸入化學品之前可以完全抽真空;在 1996年六月6日公開,PCT公開號爲WO 96/17107 之,,METHOD AND APPARATUS FOR GROWING THIN FILMS”中揭露的內容也可以作爲參考。步驟104的吸附 作用與步驟106的對多餘反應物及副產物的移除可以表示 ALD循環中的第一階段。 當第一化學品中沒有反應(或過多的)反應物在步驟106 中自反應室移除以後,在步驟108中供應第二化學品到工 19 本紙張尺度適用中國國家標準(CNS)A4規恪αΐ()χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) -· 11-----IT---------· 經濟部智慧財產局員工消費合作杜印製 486771 A7 B7 7017pif.doc/008 五、發明說明() 作區域,第二化學品會與在步驟104中形成的自我終止之 單層反應。在下列的敘述中將參考第5圖作更詳盡的說明, 此反應包括提供含氧氣體源到工作區域,氧氣或來自含氧 氣體源的一種含氧化合物會與前面吸附的金屬化合物反 應,而留下金屬氧化物的單層結構來取代金屬化合物的單 層結構。 在其他方式上,第二化學品可以輕易的移除在步驟1〇4 中形成的吸附之金屬化合物單層的根基(比如以昇華作用 或還原作用)或是利用氧化作用來製備單層結構,或是進 行另一種化學品的化學吸附。 在步驟108中的反應也是自我終止的,反應劑塡滿在 步驟104中剩餘的反應位置,溫度與壓力條件最好是設定 在不會有反應劑自第二化學品經過單層結構擴散到下層材 料,第二化學品也會留下一個表面端,此表面端並不會與 第二化學品的剩餘反應物反應,因此在一個飽和反應階段 中操作可以限制沈積的效果,在表I至V中,氫氧根或在金 屬氧化物單層的氧的橋接端不會與第二化學品中的過多的 含氧氣體源反應。 在經過一段足夠時金屬化合物表面經過第二化學品的 化學吸附(或自我限制反應)時間而完全達到飽和以後,過 多的第二化學品會在步驟110中自工作區域移走,相較於 移除第一化學品的步驟106,此步驟110包括停止供應第 二化學品,並繼續輸入載氣一段時間,藉以自反應室中排 掉第二化學品中過多反應物與反應副產物。舉例來說’反 20 本纸張尺度適用中0國家標準(CNS)Al规格(210 X 297公釐) -----.----- 裝i丨丨丨—訂·丨丨丨丨丨— (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明((¾ ) 應物與反應副產物可以在停止供應第二化學品以後,利用 輸入排除氣體來加以移除,排除氣體輸入的量至少爲兩倍 的反應室體積,更適當的是至少爲三倍的反應室體積。在 實施例中,步驟110的移除動作包括在停止輸入第一化學 品以後持續通入排除氣體約0」至20秒的時間。步驟108 的反應與步驟110的移除動作在ALD循環中均表示第二 階段。 在實施例中,當兩個階段輪替一次,過多的反應物與 第二化學品的副產物會由反應室排出,ALD過程的第一階 段會被重複。因此,步驟104的會再次供應第一化學品到 工作區域,而形成另一個自我終止的單層結構。 在ALD過程中兩個階段1〇7與111表示循環115,重 複形成單層結構,第一化學品通常會與上一個循環中的第 二化學品留下的末端反應(根基的交換)或化學吸附於其 上。假如有必要,循環115可以延伸包括一個特別的表面 製備,與步驟102相似,如第4圖中加虛線的標示。接著, 循環115會進行步驟104至110,循環115會重複進行數 次,直到在HSG層上的介電層厚度可以避免元件在操作 時候產生漏電的現象,利用相似的ALD過程可以沈積多 層介電質由這些堆疊的介電質來組成一個薄的介電層,也 就是由很多的介電質次層堆疊而成,由下列的敘述參照第 9圖將可更加了解。 參照第4B圖,在每一個循環中也可以加入額外的化 學品,在第4B圖中,步驟與第4A圖的步驟相同的係利 21 -----.------·裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中S國家標準(CNS)A.l規格⑵0 x 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(β) 用200序列中,其末兩位數字與第4A圖的100序列中的 末兩位數字相同的標號來表示,因此步驟200-210乃與第 4A圖中的步驟100-110相似。 在第4B圖中提到的循環215還更包括了兩個額外的 階段,第一些段207與第二階段211會形成自我終止的金 屬氧化物或氧化矽單層,第三階段219與第四階段223會 形成另一種自我終止的金屬氧化物或氧化砂單層。請注意 在設計的時候,第二個單層的成分最好與第一單層的成分 不同,比如如下面的表VI中的金屬矽化物的例子。 特別的是,在第一金屬/矽階段207與第一氧階段211 形成第一金屬氧化物或氧化矽單層以後,在步驟216中供 應第二金屬或矽的氣體源以形成自我限制或自我終止的金 屬或矽的化合物單層,覆蓋於前面形成的金屬或矽的氧化 物上,與階段207的第一金屬/矽的處理程序相似的是, 金屬/矽的氣體源可以利用交換根基(化學吸附),與前面形 成的金屬/矽的氧化物進行反應。接下來會進行另一道反 應物的移除步驟218(利用抽真空或是最好是利用排出的方 法)、第二含氧氣體源的供應步驟220以及後續的移除步 驟222,第二氧化步驟220(或氧化階段223)也會自我限制, 如同步驟208的第一道氧化一樣,含氧氣體源可以利用交 換根基(化學吸附)進行反應。 雖然在每一個循環215中包括四個階段’因爲會產生 一種四元的介電質,循環215可以被稱爲一個”四元循環 215”,這是因爲第二階段211與第四階段223兩個都提供 22 尺度適用中國國家標準(CNS)A:1規格(2】〇x的7公釐) -----·------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消费合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(7^) 的相同的元素(氧)到成長中的介電層上。在其他的設計上’ 依照介電層中氧的預定含量,可以省略第二階段或第四階 段,在這樣的一個情況下’連貫的化學品會被選擇用來完 成交換根基的反應,以使表面末端有夠小的根基,以容許 一些後續的化學品擴散,以及/或具有中間過渡的還原階 段來取代省略的氧化階段。 在第三階段218中的第二金屬/矽的氣體源可以是鹵化 金屬或有機金屬前趨物,產生一個具有鹵基或有機根的一 個自我終止的金屬化合物單層,這些根基對第二金屬/砂 的氣體源沒有反應性。在表VI的例子中,第二金屬/矽的 氣體源包括會自我限制化學吸附的矽氣體源,像是3-氨基 丙基三乙氧基矽烷(NH2C3H6-Si(0-C2H5) 3或AMTES)、或 是3-氨基丙基三甲氧基矽烷(NH2C3H6-Si(〇-CH3) 3或 AMTES)化合物,或是鹵砂院(halosilane),須注意的是金 屬源與矽源相的順序是可以顛倒的。 在第四階段220中的含氧氣體源可以與第2階段所用 的相同或不同,本發明發現某些氧化劑(比如臭氧)較適合 用來氧化具有有機根的金屬或矽的化合物單層,然而其他 的氧化劑(比如水)則較適合用來氧化具有鹵素端的金屬或 矽的化合物單層。因此,在表VI的例子中,第四階段223 的氧化劑與第三階段219的氧化劑並不相同,至少在這些 階段中,第三階段219的金屬/矽源與第一階段207的金 屬/矽源不同。 爲了使製造出來的介電質其不同金屬之間或金屬對矽 23 本紙張尺度適用中國國家標準(CNS)A4規格(2]〇 X 297公餐) -----------裝--------訂---------^Awi (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(7丨) 的比例大槪維持在一比一的狀況,會重複進行循環215, 在表VI的例子中,依序重複金屬、氧化、矽與氧化階段, 以產生金屬矽化物層,用以製作四元介電層的循環215可 以在一連串的二元循環115(第4A圖)以後,依照最後的四 元介電質中金屬預定的比例來進行;反過來說,二元循環 115(第4A圖)可以在一連串的四元循環215以後進行。可 以利用軟體來控制氣體流動量,比如在每三個二元循環115 以後進行一個四元循環215,這樣的過程可以大約產生第 一金屬對第二金屬(或矽)爲3比1比例的介電層。對熟習 此技藝者來說,由這段說明可以知道,實際比例將與第二 金屬取代的頻率以及化學吸附分子的相關物理尺寸有關。 雖然在第4A圖與第4B圖中,二元與四元的過程是以 起始金屬/矽的階段與接續的氧化階段爲例,但是也可以 由氧化階段開始,這與下層表面與階段使用的化學物品有 關。 形成金靥氣化物介雷晳的方法 第5圖與表I至V用以說明在下電極的HSG層上形成金 屬氧化物介電質的方法,在表I中舉例說明形成一個沒有 反應性的金屬氧化物(比如鋁);表II與III舉例說明形成第5 族過渡金屬的氧化物(比如釩、鈮、鉬);而表IV與V則說 明形成第4族過渡金屬的氧化物(比如鈦、锆、飴)。爲了 簡單說明,在第4A圖中的敘述中使用相同的參考標號會 使用在形成金屬氧化物的階段與步驟中(第5圖)。 24 (請先閱讀背面之注音?事項再填寫本頁) ί 裝--------訂--------- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇χ 297公釐) 486771 A7 B7 7017pif.doc/008 i、發明說明(U) (請先閱讀背面之注意事項再填寫本頁) 請參照第5圖,根據實際應用的例子依序輸入氣體, 在此範例中,利用輪流輸入金屬氣體源與含氧氣體源到工 作區域中,可以形成高k的介電質,特別是高k的金屬氧 化物,在每一個循環的第一或金屬形成階段107會化學吸 附一層含有金屬的材料,最好是在沒有含氧氣體的存在下 進行;每一循環的第二或氧化階段111會在沈積的含有金 屬的材料上反應或吸附含氧的材料,此階段最好是在沒有 金屬氣體源的存在下進行。在其他的變化上,爲了可以反 覆進行這些步驟,進行反應物移除或排出的步驟會在程序 中加入或在加入反應物前進行。 經濟部智慧財產局員工消費合作社印製 最適合的是,金屬化階段107是自我限制的,這樣在 第一階段進行的期間不會沈積超過一個原子單層,理論上 再添加步驟104中供應易揮發的金屬氣體源,適當的金屬 氣體源比如爲三甲基鋁(TMA,(CH3)3A1)、氯化鋁(A1C13)、 鋁β二酮酸鹽類(比如Al(acac)3)、乙氧基鉬(Ta(OC2H5)5)、 五氯化鉬(TaCl5)、五異二甲基氨化鉬(Ta[N(CH3)2]5)、四氯 化銷(ZrCl4)、四丙氧基锆(Zr(OC3H7)4)、四氯化鈦(TiCl4)、 四異二甲基氨化鈦(Ti[N(CH3)2]4、氟化鎢(WF6)、(AMTES)、 (AMTMS)、二氯矽甲烷(DCS)、三氯矽甲烷(TCS)、三氯化 釩(VC13)、釩β二酮酸鹽類(v(acac)3)、五氯化鈮(NbCl5)、 五乙氧基鈮(Nb(OC2H5)5)、四氯化飴(HfCl4)等。 在提供足夠的時間使下電極的表面充滿金屬氣體源以 後,停止金屬氣體源的供應步驟104,最好是在排除步驟 106中繼續通入載氣直到金屬氣體源由反應室被完全排 25 本^適用中國國家標準(CNS)A4規格(210 X四7公爱) 經濟部智慧財產局員工消費合作社印製 486771 7017pif.doc/008 ^ 五、發明說明(β ) 出。 在添加步驟104中,金屬氣體源會與工作區域暴露出 來的表面反應,而沈積或化學吸附一 ”單層”的金屬化合 物,理論上反應物會吸附在工作區域暴露出來的那一層表 面每一個可以利用的位置上,吸附的化合物的物理尺寸(特 別是具有大的有機根末端)會在每一個循環中限制單層的 覆蓋程度。在表I的例子中,在ALD過程金屬氧化物的成 長約1埃/每循環,因此由沈積材料形成一個完整的單層 大槪需要三個循環的氧化鋁,氧化鋁具:有大的晶格參數約 爲3埃。每一個循環表示加入一組金屬氣體源與含氧氣體 源,在此使用的”單層”則表示在沈積過程中單層的一個部 分,與添加步驟104中的自我限制效應肴關。 特別的是,供應到工作區域的含有金屬的成分是自我 終止的,因使吸附化合物的表面將不會再進一步的與金屬 氣體源反應,在下面提到的四個例子中,TMA(表I)會留下 一層具有甲基末端的鋁,乙氧基鉅(表II)會留下一層具有 乙氧基的鉬。同樣的,其他的易揮發的金屬鹵化物將會留 下具有鹵素末端的表面,而有機金屬前趨物將會留下具有 有機根的表面,這樣的表面在金屬氣體源的添加步驟104 中,將不會進一步的與金屬源或其他反應物組成反應,因 爲暴露在過多的反應物下面將不會產生過多的沈積,在金 屬階段107的化學品會被稱爲飽和或是自我限制。雖然過 長時間的暴露在較大的反應物濃度下,HSG層上表面的沈 積在晶粒之間的連接區域並不會有過多的沈積。 26 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------------訂---I----- (請先閱讀背面之注意事項再填寫本頁) 486771 A7 B7 7017pif.doc/008 五、發明說明 在循環115的第二階段111中,在添加步驟108中含 氧的氣體源會被供應到工作區域上,在實施例中,含氧的 氣體源包括水蒸氣或臭氧,其他適當的氧化劑包括:過氧 化氫(H202)、甲醇、乙醇、氧化氮(N20, N02)、氧離子等。 離子可以利用連接到反應室的電漿源來提供,而臭氧產生 器則可以提供臭氧。最好是將第二階段111維持一段足夠 長的時間,以使在第一階段107中產生的金屬化合物的單 層完全暴露在含氧的氣體源下,當暴露在含氧氣體源一段 足夠長的時間,使在HSG層上的含有金屬的單層達到飽 和以後,終止步驟108的輸入含氧氣體的步驟,但最好在 排出步驟110中繼續通入載氣,直到將含氧氣體完全排出 反應室。 在加入含氧氣體的步驟108中,含氧的氣體員會與第 一階段107會自我終止的金屬化合物單層反應或化學吸附 於其上,在實施例中,化學吸附包括一個飽和的根基交換 反應,以氧或氧的化合物取代含金屬的單層之有機根或鹵 素端,此過程會產生一化學當量的金屬氧化物,具有氫氧 根與氧橋接端。相較於金屬添加步驟107,此單層根據吸 附的化合物的物理尺寸,不需要佔據所有可利用的位置, 且第二階段111也具有自我限制的效果。 特別的是’含氧的氣體源會與前述在加入含金屬氣體 的步驟中吸附在工作區域上的金屬化合物之根基反應,因 爲在添加步驟108期間的氧化不會與金屬氧化物單層的氫 氧根或氧的橋接端反應,所以此反應也是有表面限制或終 27 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) t--------訂--------- 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(心) 止的。此外,溫度與壓力條件被設定在會使氧化劑擴散通 過金屬單層而到達下層的材料,在長時間暴露於高含量的 反應物的自我限制反應階段111中,形成在HSG層上表 面的金屬氧化物的厚度不會超過其在晶粒之間的連接區域 沈積的厚度。 金屬化階段1〇7(包括加入含有金屬的氣體源的步驟 104與排出步驟106)與氧化階段1〇8(包括加入含氧的氣體 源步驟108與排出步驟110),將一起被定義爲一個循環, 在ALD過程中被重複操作,在開始循環115以後,會進 行第二個循環115a,其中含氧的氣體源的步驟104會再次 進行,金屬氣體源會在前一個循環115中形成的金屬氧化 物表面上吸附金屬化合物,含有金屬的成分會與暴露出來 的表面反應,沈積另一個單層或是金屬化合物單層的一部 分,並且產生一個自我終止的表面所以不會繼續與金屬氣 體源反應;之後停止金屬氣體源的添加步驟104a ’進行自 反應室排除氣體的排出步驟106a,然後進行第二循環U5a 的第二階段111a,以提供含氧的氣體源氧化第二個金屬單 層。 循環115a會被重複至少次,更佳的是至少爲20次 以上,直到形成足夠厚度的金屬氧化物’以避免在記憶胞 操作期間漏電。利用本實例的優點在於形成的各層具有一 個約爲10至200埃的均勻厚度,更適當的是在25至100 埃之間的厚度,且具有近乎完美的階梯覆盍效果。 下面列出的表可以提供形成金屬氧化物與適合用於 28 (請先閱讀背面之注意事項再填寫本頁) ---------訂------!
經濟部智慧財產局員工消費合作社印M 本紙張尺度適用中國國家標準(CNS)A‘4規格(2Κ)χ 297公楚) 486771 A7 B7 7017pif.doc/008 五、發明說明(/ ) (請先閱讀背面之注意事項再填寫本頁) ULSI製程中DRAM記憶胞內的電容器介電層的四元介電 層之製作範例說明,這些介電質特別適合用來沈積在HSG 層上,每一個過程步驟表示在單個經援製程模組上的一個 循環,特別的是,其中提到的參數可以用於商標名爲Pulsar 2000TM的單一晶圓ALD模組中,此模組係由ASM Microchemistry Ltd. of Finland 開發出來的。 請注意表中提到的參數僅用以作爲例子,每一個製程 階段僅需要使下電極表面達到飽和即可,排出步驟會在反 應步驟之間進行,以將反應物排除反應室。提到的ALD 製程可以在平均晶粒尺寸爲400埃的HSG上達到優於95% 的厚度均勻度,在此提到的厚度均勻度被定義爲最小厚度 相對於最大厚度的百分比。由此說明,熟習此技藝者可以 很容易根據不同的反應室與不同的反應情況來修正、取代 或以其他的沈積條件,以在可接受的沈積速率下達到飽 和、自我終止的狀態。 經濟部智慧財產局員工消費合作社印製 其好處在於,在此提到的ALD製程對壓力與反應劑濃 度較無反應,只要反應劑供應足夠使不平坦的表面達到飽 和即可,此外製程可以在低溫下操作,工作區域的溫度在 整個製程中最好可以維持在攝氏150度至350度之間,以 在有效的熱預算下達到夠快的沈積速度,更適當的是依照 反應物將溫度維持在攝氏220度至300度之間,反應室的 壓力可以由千分之一 Torr到高過大氣壓力的範圍,但較佳 是維持在ΙΤοιτ至500Τ〇ιτ之間,更好的是維持在ITorr至 lOTorr 之間。 29 本纸張尺度適用中國國家標準(CNS)A1規格(210 X的7公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(/]) 表I :氧化銘 階段 步驟 載氣流 速(seem) 反應物 反應物流速 (seem) 溫度 (攝氏) 壓力 (Torr) 時間 (秒) 金屬 400 TMA 20 300 5 0.1 排出 400 -- -- 300 5 0.2 氧化 400 h2o 40 300 5 0.1 排出 400 -- -- 300 5 0.6 上列的表I表示在HSG層上覆蓋氧化鋁介電質的ALD 製程參數,使用的金屬氣體源包括TMA ’載氣包括氮氣, 而含氧的氣體源較佳包括水蒸氣’在製程期間的溫度最好 是保持在攝氏150度至350度之間’更恰當的是維持在攝 氏300度。 在第一循環的第一階段中,TMA會化學吸附在下電極 的HSG層上,金屬氣體源相對於其他製程參數,最好是 包括足夠百分比的載氣,以使下電極的表面達到飽和,而 在HSG層表面上留下一單層的鋁化合物,且此單層會自 我終止具有一個甲基末端。 在停止通入TMA與持續通入載氣進行排出步驟以後, 加入水蒸氣到工作區域上,使水與金屬單層的甲基端表面 進行一個根基交換反應,形成一單層的氧化鋁,此反應會 受限於前面化學吸附的金屬化合物的數量。此反應會自我 終止,所以不論是水或是載氣均不會與氫氧基或氧化鋁單 層的氧之橋接端進一步的反應,此外較佳的溫度與壓力參 數必須可以抑制水的擴散或在金屬參層之間反應產生副產 30 丨丨·---.------·裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印忽 本纸張义度適用中國國家標準(CNS)A4規格(21() x 297公釐) 經濟部智慧財產局員工消費合作社印製 486771 A7 7017pif.doc/008 β7 五、發明說明(>$) 物。 在下一個循環中,在第一階段導入TMA,會與氧化鋁 的單層反應,再次留下甲基端的鋁層於第一層的金屬氧化 層上,第二循環的第二階段接著會被進行’就像第一循環 一樣,這些循環會被重複直到氧化鋁達到理想的厚度爲 止。 在實施例中,以一個固定的速度在每個循環的兩個喈 段持續通入載氣,但是也可以利用在輪流輸入氣體之間將 反應室抽真空來達到排除反應物的效果。在一個設計上, 將適當的反應器結合硬體與軟體’以在沈積過程中維持固 定的壓力,1988年5月31日核准的美國第4,747,367號 專利與1988年8月2日核准的美國第4,761,269號專利中 揭露的內容可以作爲參考。 利用電漿產生器產生的離子可以容易在低溫的ALD製 程中沈積含有金屬的層,利用離子改良的沈積層之形成方 法與結構可見於1999年9月8號申請之申請案號爲 09/392,371,名稱爲IMPROVED APPRATUS AND METHOD FOR GROWTH OF A THIN FILM”的申請案中,可以作爲 本實施例的參考資料。另一個ALD製程的步驟流程可見 於1999年6月29日獲准的美國第5,916,365號專利,亦 可作爲參考。 31 本紙張尺度適用中國國家標準(CNS)A.l規格(2]〇χ297公,¾ ) {請先閱讀背面之注音?事項再填寫本頁}
經濟部智慧財產局員工消费合作社印製 486771 A7 7017pif.doc/008 R7 五、發明說明(1) 表II :五氧化二鉅 階段 步驟 載氣流速 (seem) 反應物 反應物流 速(seem) 溫度 (攝氏) 壓力 (Torr) 時間 (秒) 金屬 400 Ta(OC2H5)5 40 220 5 1 排出 400 -- -- 220 5 1 氧化 400 〇3 100 220 5 1 排出 400 -- -- 220 5 2 上列的表II表示在HSG層上覆蓋五氧化二钽介電質的 ALD製程參數,其中提到的介電層通常會先在HSG層上 沈積一層阻障層以避免其氧化。在實施例中,形成的介電 質阻障材料包括氮化矽,其優點在於在HSG上的氮化矽 是利用氮化矽表面形成,可以具有近乎完美的共形效果。 在另一方面,先在HSG層上成長一層薄的氧化層(比如利 用熱氧化法),然後如習知的方法一樣對氧化物表面進行 熱氮化反應。 在形成阻障層以後,接著進行ALD製程形成五氧化二 鉬,如表II所列,形成使用的金屬氣體源包括Ta(OC2H5)5, 載氣包括氮氣,而含氧的氣體源較佳包括臭氧,在製程期 間的溫度最好是保持在攝氏15〇度至300度之間,更恰當 的是維持在攝氏220度。 在第一循環的第一階段中,Ta(OC2H5)5會化學吸附在 下電極的HSG層的氮化表面上,金屬氣體源相對於其他 製程參數,最好是包括足夠百分比的載氣,以使下電極氮 化塗佈的HSG層表面達到飽和,而在不平坦的表面上留 32 本紙張义度適用中國國家標準(CNSM4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ▼•裝-----I--訂-------I · 486771 A7 B7 7017pif.doc/008 五、發明說明(y) 下一單層的钽化合物,且此單層會自我終止具有一個乙氧 基末端。 在停止通入金屬氣體源與持續通入載氣進行排出步驟 以後,加入臭氧到工作區域上,臭氧相對於其他製程參數, 最好是包括足夠百分比的載氣,以使含有金屬的單層表面 達到飽和,臭氧會與金屬單層的乙氧基末端進行一個根基 交換反應,形成一單層的五氧化二鉅,此反應會受限於前 面化學吸附的金屬化合物的數量,所以不論是臭氧或是載 氣均不會與鉅氧化物單層進一步的反應。臭氧會產生有機 根,產生二氧化碳與水,且單層會具有氫氧基與氧的橋接 末端,其中較佳的溫度與壓力參數必須可以抑制臭氧的擴 散或在金屬參層之間反應產生副產物。 在下一個循環中,在第一階段導入Ta(OC2H5)5,會與 五氧化二鉬的單層反應,再次留下具有乙氧基末端的钽 層,第二循環的第二階段接著會被進行,就像第一循環一 樣,這些循環會被重複直到氧化鉬達到理想的厚度為止, 約進行80至200個循環以使氧化钽之厚度成長到40埃至 100埃之間,更適當的是進行80至100個循環,使其厚度 達到40埃至50埃之間。 在實施例中,以一個固定的速度在每個循環的兩個階 段持續通入載氣,但是也可以利用在輪流輸入氣體之間將 反應室抽真空來達到排除反應物的效果。在一個設計上, 將適當的反應器結合硬體與軟體,以在沈積過程中維持固 定的壓力,1988年5月31日核准的美國第4,747,367號 33 本纸張尺度適用中國國家標準(CNS)A4規格(21() x四7公爱了 --------------------^--------- (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(>丨) 專利與1988年8月2日核准的美國第4,761,269號專利中 揭露的內容可以作爲參考。 由此可形成一層含有微量碳的非晶系氧化鉅,將此層 回火可以得到結晶化的介電質,其介電常數介於2〇與25 之間。 表ΙΠ :五氧化二鉬 階段 載氣流速 反應物 反應物流 溫度 壓力 時間 步驟 (seem) 速(seem) (攝氏) (Torr) (秒) 金屬 400 TaCl5 40 300 5 0.5 排出 400 — 一 300 5 0.5 氧化 400 h20 40 300 5 0.5 排出 400 -- -- 300 5 0.5 上列的表III表示在HSG層上覆蓋五氧化二鉅介電質的 ALD製程參數,在形成阻障層以後,接著進行ALD製程 形成五氧化二钽,如表III所列,形成使用的金屬氣體源包 括TaCl5,載氣包括氮氣,而含氧的氣體源較佳包括水蒸 氣,在製程期間的溫度最好是保持在攝氏150度至300度 之間,更恰當的是維持在攝氏300度。 在第一循環的第一階段中,TaCl5會化學吸附在下電極 的HSG層的氮化表面上,金屬氣體源相對於其他製程參 數,最好是包括足夠百分比的載氣,以使下電極氮化塗佈 的HSG層表面達到飽和,而在不平坦的表面上留下一單 層的钽化合物\且此單層會自我終止具有一個氯離子端。 在停止通入丁3〇15與持續通入載氣進行排出步驟以後, 34 -----·------裝--------訂--------- (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消费合作社印糾私 中國國家標準(CNS)A:1規格(210 X 297公釐) 486771 7017pif.doc/008 β? _ _ 五、發明說明Cvv) 加入水蒸氣到工作區域上,水蒸氣相對於其他製穆參數’ 最好是包栝足夠百分比的載氣,以使含有金屬的窜層表面 達到飽和,水蒸氣會與金屬單層的氯離子端進行/個根基 交換反應,形成一單層的五氧化二钽,此反應會受限於前 面化學吸附的金屬化合物的數量,所以不論是水蒸氣或是 載氣均不會與鉬氧化物單層的氫氧基與氧的橋接末端進一 步的反應,其中較佳的溫度與壓力參數必須可以抑制水的 擴散或在金屬參層之間反應產生副產物。 在下一個循環中,在第一階段導入TaCl5,會與五氧化 二钽的單層反應,再次留下具有氯離子端的钽層,第二循 環的第二階段接著會被進行,就像第一循環一樣,這些循 環會被重複直到氧化钽達到理想的厚度爲止。 如上所述,以一個固定的速度在每個循環的兩個階段 持續通入載氣,但是也可以利用在輪流輸入氣體之間將反 應室抽真空來達到排除反應物的效果。 由此可形成一層含有微量氯的非晶系氧化钽,將此層 回火可以得到結晶化的介電質,其介電常數介於2〇與25 之間。 (請先閱讀背面之注意事項再填寫本頁) ··裝--------訂--------- 經濟部智慧財產局員工消費合作社印製 表IV :氧化鉻 階段 步驟 載氣流速 (seem) 反應物 反應物流 速(seem) 溫度 (攝氏) 壓力 (Torr) 時間 (秒) 金屬 400 ZrCl4 5 300 5 0.5 排出 400 -- -一 300 5 3 氧化 400 h2o 40 ] 300 5 2 排出 400 -- — 300 5 6 35 486771 A7 B7 7017pif.doc/008 五、發明說明(») 上列的表IV表示在HSG層上覆蓋氧化锆介電質的ALD 製程參數,如表IV所列,形成使用的金屬氣體源包括 ZrCl4,載氣包括氮氣,而含氧的氣體源較佳包括水蒸氣, 在每一個反應階段,會供應足夠量的反應物使其在其他參 數下使表面達到飽和。 製程期間的溫度最好是保持在攝氏200度至500度之 間,對於非晶系的氧化鉻來說,較適當的溫度是在這個範 圍的低溫部分,約爲攝氏200度至250度之間,更恰當的 是維持在攝氏225度。對結晶層來說,適當的溫度是在這 個範圍的高溫部分,約爲攝氏250度至500度之間,更適 當的是在攝氏300度。對熟習此技藝者來說,可以了解非 晶系與結晶體成份的混合誤會在這兩個結構間產生界面, 本發明提供的製程會產生較多的結晶化氧化锆層。 在此情況中,在金屬加入步驟中形成的金屬單層會自 我終止且具有一個氯離子端,在適當的條件下不會與過量 的ZrCl4進一步的反應,在加入含氧氣體源的階段中,含 氧氣體源會與金屬單層的氯離子端反應或吸附,以進行一 個根基交換反應,此外氧化會留下氫氧基與氧橋接的末 端,不會在飽和的環境下與過多的氧化劑進一步的反應。 較佳約進行30至80個循環以使氧化锆之厚度成長到 20埃至60埃之間,更適當的是進行30至50個循環,使 其厚度達到20埃至40埃之間,此層結構的介電常數約介 於18至24之間。 36 ------------裝--------訂--------- (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中闷國家標準(CNS)Al規格(210 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(祕) 表V :氧化鈦 階段 步驟 載氣流速 (seem) 反應物 反應物流 速(seem) 溫度 (攝氏) 壓力 (Torr) 時間 (秒) 金屬 400 TiCl4 20 300 5 0.5 排出 400 — -- 300 5 3 氧化 400 h2o 40 300 5 2 排出 400_ — -- 300 5 6 上列的表v表示在HSG層上覆蓋氧化鈦介電質的ALD 製程參數,如表V所列’形成使用的金屬氣體源包括TiCl4 ’ 載氣包括氮氣’而含氧的氣體源較佳包括水蒸氣’在每一 個反應階段,會供應足夠量的反應物使其在其他參數下使 表面達到飽和。 與前一個例子提到的氧化锆相同,此製程期間的溫度 最好是保持在攝氏200度至500度之間,而在本實施例中 使用的溫度係介於攝氏250度至500度之間,更恰當的是 在攝氏300度。 在此情況中,在金屬加入步驟中形成的金屬單層會自 我終止且具有一個氯離子端,在適當的條件下不會與過量 的TiCl4進一步的反應,在加入含氧氣體源的階段中’含 氧氣體源會與金屬單層的氯離子端反應或吸附,以進行一 個根基交換反應,此外氧化會留下氫氧基與氧橋接的末 端,不會在飽和的環境下與過多的氧化劑進一步的反應。 較佳約進行30至80個循環以使氧化锆之厚度成長到 20埃至60埃之間,更適當的是進行30至50個循環,使 37 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝 -------訂------ ί - · 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明) 其厚度達到20埃至40埃之間,此層結構的介電常數約介 於18至24之間。 形成四元介雷層的方法 如第4B圖所示,上面提到用於製作金屬氧化物電容 器電晶體的ALD製程理論也可以延伸在沈積四元材料或 更複雜的材料上。 請參照第6圖,其繪示爲一種形成四元結構的一般方 法的氣體流程圖,特別是在於形成混合或化核的金屬氧化 物或金屬矽化物。雖然下列表VI的例子中是有關於一種金 屬矽化物層,利用金屬/第一氧/矽/第二氧等階段依序進行 而形成,但熟習此技藝者可了解其形成金屬矽化物的步驟 可以交換(比如矽/氧/金屬/氧),或是也是用於複雜的金屬 氧化物(比如第一金屬/氧/第二金屬/氧)。此外,上面說明 的順序可以延伸包括更複雜的材料’係由多種元素組成, 爲了方便起見,在下列的說明中會採用與第4B圖中所用 相同之標號來表示相似的步驟、階段與順序。 在實施例中,此製程每一個循環包括四個階段,其中 每一個階段包括一個加入反應物步驟與〜個排出步驟。在 步驟2〇7中加入第一金屬或矽以後,接著依序進行步驟211 的第一道氧化步驟,步驟218的第二金屬或矽的加入步驟, 以及第二道氧化步驟223,然後重複循環。在其他的設計 上,假如希望氧的含量低的話,則可以在每一個循環中或 在幾的循環中將第一步驟或第四步驟省略,在這樣的一個 38 本紙張&度適ffl中國國家標準(CNSM4規格(210x297公釐) -----------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明) 情況下’使用的化學品應該選擇不會在沈積的單層與後續 的化學品之間產生反應’由下列的表VI可以比較容易瞭 解,第一含氧源(在第二步驟211中)可以與第二含氧源(在 第四步驟223中)相同,但並不一定要相同,在完成四個 步驟2〇7, 211,2丨9, 223的第一循環以5以後,會進行相似 的第一循環215a ’以繼Is四兀材料的成長,這些循環215 215a會持續進行直到四元材料的厚度足以避免漏電,但又 夠薄到可以提供高的電容量。 通常’此製程可以使介電層具有混合的金屬氧化物, 四元金屬氧化物、金屬矽化物或更複雜的介電材料,舉例 來說,利用輪流上面提過的沈積循環,可以將氧化鈦與氧 化鉬混合,假如僅考慮到輕微的摻雜效果,可以將—個大 的二元循環在四元循環之間重複幾次。在下面的表VI,是 以氧化矽銷或稱爲”锆的矽酸鹽”層爲例。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 - 表VI: 氧化矽銷 階段 載氣流速 反應物 反應物流 溫度 壓力 時間 步驟 (seem) 速(seem) (攝氏) (Torr) (秒) 金屬 400 ZrCl4 40 300 5 0.5 排出 400 -- -- 300 5 3 氧化 400 h2o 40 300 5 2 排出 400 -- -- 300 5 6 矽 400 AMTMS 40 300 5 1 盤出 400 -- — 300 5 ----— 2 氧化 400 〇3 40 300 5 ~~~—-—. 3.5 400 -- -- 300 5 --— 39 本紙張尺度適用中國國家標準(CNS)A4規格(2.】〇χ 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明o")) 上列的表VI表示矽氧化锆或锆矽酸鹽(ZrSix〇y)的ALD 製程參數,如表VI所列,第一反應物源包括一個用以形成 化合物的金屬源,在此以氯化锆來提供金屬,其他的製程 氣體包括有氮的載氣,還有一個矽的氣體源,較佳是包括 AMTMS,其他適當的矽氣體源包括各種有機矽甲烷與鹵 化矽甲烷氣體,在每一個反應步驟期間,會供應足夠的反 應物配合其他的參數使表面達到飽和。 在提到的順序中,金屬階段後面接著進行一個氧化階 段,然後再接著一個矽的階段與第二氧化階段,然後再重 複此循環。本例子係在每個循環中使用兩個不同的氧氣源 作爲第一與第二氧化步驟(第二與第四階段)的含氧氣體 源,實際運用時也可以在兩個步驟上輪流使用相同的含氧 氣體源。 在金屬步驟中,含锆的單層會自我終止且具有一個氯 離子端,此單層的氯離子端並不會在適當的條件下與ZrCl4 進一步的反應。而在下一個步驟中,水氣會氧化含有金屬 的單層,以氫氧根或氧的橋接端取代氯離子端;接著在供 應矽的步驟中,含矽的氣體源會與氫氧根或氧的橋接端反 應或吸附於其上,進行一個根基的交換反應,此反應會受 到前步驟吸附的金屬氧化物之限制。此外,含矽的氣體滹 會留下一個有機根(乙氧基)或鹵素根(氯離子)的末端,此 末端不會與剩餘的乙氧基矽在飽和相中反應,最後臭氧會 氧化前面吸附的含矽單層,而產生一個四元的氧化物。 對熟習此技藝者來說可以知道,四元材料像是例子中 40 -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)Al規格(210 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明) 的锆矽酸鹽層其優點在於具有高的介電常數、低的操作漏 電以及與矽形成更穩定的結構界面,其中根據製程中四階 段製程與二階段製程相對之次數,透過控制锆與矽的比例 可以達到最理想的特性,因此锆矽酸鹽不需要進行化學計 量0 較佳約進行20至100個循環以使锆矽酸鹽的厚度成長 到20埃至1〇〇埃之間,更適當的是進行20至40個循環, 使其厚度達到20埃至40埃之間,此層結構的介電常數約 介於10至15之間。 -戒介雷質堆疊結構的方法 相較於第4B圖與第6圖之製程製作之混合或化合結 構,電容器介電質也可以是個別的介電次層堆疊而成的, 在此提供一個堆疊結構的範例,可以包括5至40層,較 適當是10層由氧化钽與氧化鈦交互堆疊而成的結構。 其中至少有一次層甚至是多到所有的次層都是利用 ALD根據上面敘述的方法來製作的,第9圖介紹一個以此 方式形成的電容器,在下面有更進一步的詳細說明。 在實施例中,將上面提到的製程重複1〇至100的循環, 以產生一'個局k的介電層,其厚度約爲5至50 ί矢(假設每 一個循環的厚度約爲〇·5埃),另外沈積另一種介電材料, 其厚度也介於5至50埃之間。在製作過程中,會交替進 行表Π與表V所提到的製程,在形成約5埃的氧化鉅以後 接續形成約5埃的氧化鈦,如此進行直到達到一個適當的 41 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) — I--I--訂—— II---- 經濟部智慧財產局員工消費合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明) 厚度,適用於記憶胞電容器。 就像表VI提到的混合或化合層一樣,以此形成的介電 堆疊或”nanolaminates”具有增進的介電效果,且與砂接觸 時有更穩定的結構。 形成上電極社Μ的方法 在形成介電層以後,會在電容器介電層上覆蓋一層上 電極’假如上電極無法完全共形於介電質,那麼不平坦的 下電極與其共形的介電質所具備的好處就無法完全顯現出 來,因此形成於電容器介電層上的上電極至少要包括一層 以ALD製程沈積’具有近乎完美共形度的導電薄膜。 上電極的厚度相關於其記憶陣列之高導電率,以及/或 與其接觸的接著處不會有尖峰現象穿過電容器電層,無論 如何,以上述方法進行的沈積過程並不需要形成全部厚度 的上電極,較適當的是利用本實施例提到的方法形成一或 多層一開始的薄的共形的導電層,然後再以習知的方法沈 積後續大部分的上電極厚度,本發明可以形成導電的塗佈 材料與電容器介電質直接接觸,因此可以共形於下層的$ 平坦之下電極表面的起伏,而利用習知方式沈積的上電丰亟 之其他部分並不需要共形。此外,在一開始沈積的共形薄 膜與上電極的其他部分之間的空隙的存在是可以容許白勺, 只要起始薄膜可以充分的與積體電路中的電路連接即可。 起始導電薄膜可以包括任何適當的導電材料,包括石夕、 金屬氮化物與元素金屬、其混合物以及這些材料的薄片, 42 (請先閱讀背面之注咅?事項再填寫本頁) — ϋ ϋ n ·ϋ ϋ ϋ 1 一5口、I >ΙΜ- n ϋ ·1 ϋ 11 ϋ < 經濟部智慧財產局員工消費合作社印製 本纸張Κ度適用中國國家標準(CNS)Al規格(2】〇χ 297公釐) 486771 7017pif.doc/008 A7 B7 i、發明說明) 依照電容器介電質的材料,可能會在介電質上覆蓋一層阻 障層,特別是在使用到氧化鉬時,就會使用阻障層來避免 上電極的部分氧化,而剩餘的上電極可以利用習知沈積導 電膜,像是矽或金屬的方法來完成。 在下列表VII的例子中,上電極包括金屬氮化物,可以 作爲一個覆蓋在氧化鉅上的阻障層,此層係利用ALD製 程沈積而成,藉以共形並連續的塗佈於電容器介電質上, 在表中上電極包括一種元素金屬層,可以覆蓋或取代表中 的阻障層,也是利用ALD製程來製作。 表VII :氮化鈦 階段 步驟 載氣流速 (seem) 反應物 反應物流 速(seem) 溫度 (攝氏) 壓力 (Torr) 時間 (秒) 金屬 400 TiCl4 20 400 10 1 排出 400 —— -- 400 10 1 氮化 400 nh3 100 400 10 2 排出 400 -- -- 400 10 4 (請先閱讀背面之注音?事項再填寫本頁) -1------訂---------線 經濟部智慧財產局員工消費合作社印製 上列的表VII表示在電容器介電層上以ALD製程形成 共形的金屬氮化物阻障層之製程參數’此製程與第4A圖 以及第5圖提到的製程相似’除了將含氧的氣體源取代成 含氮的氣體源以外。因此,其中一個反應物成分包括具有 有機根或鹵素根的一個含金屬成分’而第二種反應物成分 包括含氮的成分。在實施例中,金屬薄膜包括以ALD製 程製作之氮化鈦,其中自我限制的金屬與氮化步驟均被排 出步驟分開,在表中提到的例子’使用的金屬氣體源包括 43 _·· 本紙張尺度適用中國國家標準(CNS)A.l規格(2】0 X 297公餐) 486771 A7 B7 7017pif.doc/008 五、發明說明(vl\)
TiCl4,載氣包括氮氣,而含氮的氣體源較佳包括氣° (請先閱讀背面之注意事項再填寫本頁) 在第一個循環的第一個階段,TiCl4會化學吸附在先前 沈積的高k介電質的氫氧基或氧的橋接端,金屬氣體源含 有足夠百分比的載氣流量,配合其他的參數’藉以使介電 層表面達到飽和,在此介電層上會形成一層單層的鈦化合 物,此單層是自我終止且具有鹵素根離子。 經濟部智慧財產局員工消費合作社印製 最理想的是在反應器中有一個結晶體可以將金屬氣體 源轉換成較小以及/或更多的反應片段,在實施例中較適 合的反應室具有鈦的側壁,可以將TiCl4轉換成TiCl3+ ’ 較小的反應片段可以容易的滲透到狹窄的空間裡面’在每 個循環中佔據更多的反應位置,且更容易吸附到有活性的 位置上,因此此結晶體可以加快沈積速度,對熟習此技藝 者來說也可以根據其他的化學品而使用其他的結晶體° 在停止通入TiCl4與持續通入載氣的排出步驟以後, 將氛加入到工作區域上,氨裡面最好包括足夠比例的載 氣,配合其他的製程參數,以使含有金屬的單層表面達到 飽和,氨可以很容易的與金屬單層表面的氯離子端進行根 基交換反應,形成氮化鈦的單層,此反應會受限於金屬氯 化物可利用的數量,不論是氨或載氣都不會與形成的氮化 鈦層再有進一步的反應,而此外其較佳溫度與壓力參數必 須可以避免氨擴散進入到金屬單層。 在下一個循環中,在第一階段導入的TiCl4會與氮化 鈦層的表面反應,再次於其上留下具有氯離子端的鈦層, 接著與第一循環一樣,進行第二循環的第二階段,重複這 44 本紙張尺度適用中國國家標準(CNS)Al規格(210x297公釐) 486771 A7 7017pif.doc/008 β7 五、發明說明(〇>) 些循環直到氮化鈦層的厚度足以提供一個阻障的效果爲 止,其厚度較佳在5nm至50nm之間,更適當的厚度是在 10nm至30nm之間。 在實施例中,以一個固定的速度在每個循環的兩個階 段持續通入載氣,但是也可以利用在輪流輸入氣體之間將 反應室抽真空來達到排除反應物的效果。在一個設計上, 將適當的反應器結合硬體與軟體,以在沈積過程中維持固 定的壓力,1988年5月31日核准的美國第4,747,367號 專利與1988年8月2日核准的美國第4,761,269號專利中 揭露的內容可以作爲參考。 (請先閱讀背面之注意事項再填寫本頁) 表 VIII 階段 載氣流速 反應物 反應物流 溫度 壓力 時間 步驟 (seem) 速(seem) (攝氏) (Torr) (秒) 金屬 600 wf6 50 400 10 0.25 排出 600 -- 爾一 400 10 0.5 還原 600 TEB 40 400 10 0.1 排出 600 -- -- 400 10 0.8 訂---------% 經濟部智慧財產局員工消費合作社印製 上列的表VIII表示在電容器介電層上以ALD製程形成 共形的兀素金屬層之製程參數,此製程可以在形成阻障層 (見表VII)以後直接進行,或直接覆蓋在電容器介電層上, 此製程也與% 4A圖以及第5圖提到的製程相似,除了將 含氧的氣體源取代一種還原劑以外。因此,其中一個反應 物成分包括具有有機根或鹵素根的一個含金屬成分,而第 二種反應物成分包括強的還原劑。在實施例中,金屬薄膜 45 本適用中國國家標準(CNS)A:1規格(210 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(叻) 包括以ALD製程製作之鎢層,其中提供含金屬成分之步 驟與达原步驟係以排出步驟加以分開,在表中提到的例 子,使用的金屬氣體源包括WF6,載氣包括氮氣,而還原 劑較佳包括三乙基硼或TEB。 在第一個循環的第一個階段,WF6會化學吸附在先前 沈積的高k介電質的氫氧基或氧的橋接端,或是先前沈積 的阻障層的末端,金屬氣體源含有足夠百分比的載氣流 量,配合其他的參數,藉以使介電層表面達到飽和,在此 介電層上會形成一層單層的鎢化合物,此單層是自我終止 且具有鹵素根離子。 在停止通入WF6與持續通入載氣的排出步驟以後,將 TEB加入到工作區域上,TEB裡面最好包括足夠比例的載 氣’配合其他的製程參數,以使含有金屬的單層表面達到 飽和,TEB可以很容易的與金屬單層表面的氯離子端進行 根基交換反應,形成單層的鎢,此反應會受限於金屬氯化 物可利用的數量,不論是TEB或載氣都不會與形成的鎢 單層再有進一步的反應,而此外其較佳溫度與壓力參數必 須可以避免TEB擴散進入到金屬單層。 在下一個循環中,在第一階段導入的WF6會與鎢單層 的表面反應,再次於其上留下具有氯離子端的鎢層,接著 與第一循環一樣,進行第二循環的第二階段,重複這些循 環直到鎢層的厚度足夠爲止,其厚度較佳在5nm至50nm 之間,更適當的厚度是在l〇nm至30nm之間,以確保其 有連續且共形的覆蓋率,使得下層的下電極與電容器介電 46 本紙张尺度適用中國國家標準(CNS)A:丨規格(210 X 297公g ) --------I-----------訂---------線 (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消t合作社印製 486771 A7 B7 7017pif.doc/008 五、發明說明(w) 質之大表面積的優點可以完全表現。 在實施例中,以一個固定的速度在每個循環的兩個階 段持續通入載氣,但是也可以利用在輪流輸入氣體之間將 反應室抽真空來達到排除反應物的效果。在一個設計上, 將適當的反應器結合硬體與軟體,以在沈積過程中維持固 定的壓力,1988年5月31日核准的美國第4,747,367號 專利與1988年8月2日核准的美國第4,761,269號專利中 揭露的內容可以作爲參考。 在以ALD相似於表VII以及/或表VIII的製程製作最初 的導電薄膜以後,再以習知的沈積方法成長上電極的其他 部分,CVD甚至是PVD都可以用來沈積這額外的l〇〇nm 至500nm的導電材料,習知的沈積將不會在最初的薄膜上 有高的階梯覆蓋率,因此將不會與下層由下電極與不平坦 的介電質及最初沈積的導電薄膜形成的下電極薄膜共形, 此大部分的沈積雖然階梯覆蓋能力較差,但是將不會造成 電容量的損失,因爲組成部分上電極的最初沈積之導電薄 膜確定連續且共形的覆蓋在電容器介電質上。 產生的雷容器結構 請參照第7圖,下電極300上面有一層極薄的共形介 電層302覆蓋於HSG層304上,根據DRAM電容器的需 求,形成的介電層之最小厚度必須可以避免額外的漏電以 及接續的資料錯誤,根據使用的材料,塗佈在下電極300 上的介電層302的厚度範圍最好在10埃至200埃之間, 47 (請先閱讀背面之注意事項再填寫本頁) -III——— «ΙΙΙΙΙΙΙ — 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)Al規格(2】0 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(卟) 更好是在25埃至100埃之間。 在同樣的時間,本發明提供的方法可以利用高階梯覆 蓋能力,在HSG層的所有表面上形成理想厚度均勻的介 電質,因此在HSG層304上的介電層302再結構上的任 一點的最小厚度不會超過最大厚度的95%,更理想的是不 會超過最大厚度的98%。 在理想的情況下,根據佔據所有可利用的位置之化學 吸附化合物的物理尺寸,特別是每一個吸附的化合物均有 一個有機根,此材料會在每一個循環會沈積一單層結構的 一部份的介電質,如表IV中提到的例子,每個循環大槪會 沈積約〇·75埃的氧化锆,因此大槪要進行30至80個循環, 最好是進行30至50個循環,才可以產生理想厚度的介電 層,以避免在操作時有漏電與資料錯誤的情況發生。 其中沒有繪示,但熟習此技藝者均可以了解在形成介 電層302以後,會接續覆蓋一層上電極於其上,才會完成 整個製作。 請參照第8圖,其中相同的部分係沿用相同的標號’ 有一層共形的介電層302會覆蓋在下電極300的HSG層3〇4 上,此外在介電層302與HSG層304之間還有一層阻障 層306,根據上述的表II與表III提到的例子,比如在氧化 鉬介電層302的下方會有一層熱成長的氮化矽層作爲阻障 層306,因此已經氧化的HSG層304多少可以避免氧化發 生,氮化矽會變成電容器介電質的一部份,雖然會降低介 電質的介電係數,不過可以避免下電極300氧化。 48 (請先閱讀背面之注意事項再填寫本頁) 琴裝--------訂i 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNSM4規格(210x297公t ) 486771 A7 B7 7017pif.doc/008 五、發明說明(4) 請參照第9圖,圖中顯示下電極300上有一層未成長 的極薄介電質302共形的延伸覆蓋在HSG層304上,其 中製作的介電質堆疊結構302包括約3埃至10埃的第一 介電質次層302a(比如5埃的氧化鈦)、約3埃至10埃的 第二介電質次層302b(比如5埃的氧化钽)、約3埃至10 埃的第三介電質次層302c(比如5埃的氧化鈦)等,在延伸 的應用上可以多加上幾層相同材質之介電質次層,或是不 同材質的介電質次層,藉以完成不會漏電的記憶胞電容 器。 請參照第10圖,電容器下電極300包括一層HSG層 304,上面具有一層極薄的高k介電質302沿著HSG層304 的表面共形延伸,與第7圖介紹的相似,此外第10圖提 到一層上電極覆蓋在高k的介電質302上,此上電極包括 一層最初的導電薄膜308,係利用ALD製程覆蓋在高k的 介電質上,因爲導電薄膜308是以如表VII以及/或表VIII 所述之ALD製程製作,導電層308會共形的塗佈在電容 器介電質上,因此可以確保全部的上電極會覆蓋到大表面 積的電容器,同時上電極的其他部分310可以利用習知的 方法,像是CVD或PVD來製作,並不會造成電容量的損 失。 在其中一個例子中,電容器的介電質包括一種易揮發 的材料,像是氧化钽,起始的導電薄膜308包括一個薄(比 如約爲10nm至30nm)的阻障層,在此以由表VII所提到的 方法製作的氮化鈦爲例。在此例子中,上電極剩下的部分 49 (請先閱讀背面之注音?事項再填寫本頁) 裝--------訂·-----I! 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNSM4規格(210 X 297公釐) 486771 A7 B7 7017pif.doc/008 五、發明說明(q ) 310最好包括另一層約l〇〇nm的金屬氮化物,以使其有足 夠的厚度達到阻障效果,上電極的剩餘部分310也包括沈 積一種更具導電性的材料,像是元素金屬。 在另一個例子中’起始導電薄膜308包括一層薄(比如 約爲l〇nm至30nm)的兀素金屬層,在此以由表yin所提 到的鎢爲例,在此例子中,上電極剩下的部分310最好包 括另一層約l〇〇_500nm的導電材料,且最好是元素金屬, 以使上電極具有足夠的厚度。 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之精 神和範圍內,當可作各種之更動與潤飾,比如在實施例中 提到很多特定的介電材料,但熟習此技藝者將可以將ALD 製程用來製作其他的材料以形成電容器,此外在實施例中 說明的製程與順序結構也可以作變動,因此本發明之保護 範圍當視後附之申請專利範圍所界定者爲準。 (請先閱讀背面之注意事項再填寫本頁) 爾裝------- —訂--------- 經濟部智慧財產局員工消費合作社印製 50 本紙張尺度適用中國國家標準(CNS)A:l規格⑵〇 x 297公釐)

Claims (1)

  1. 經濟部智慧財產局員工消費合作社印製 486771 A8 B8 C8 7017pif.doc/008 六、申請專利範圍 1. 一種在積體電路中形成電容器的方法,包括: 建構一下電極,其中包括一不平坦之矽層;以及 沈積一介電層於該不平坦之矽層上,其中該沈積步驟 包括= 利用暴露在一第一反應物中,形成不超過約一單 層之第一材料於該不平坦之矽層上;以及 使該第一材料與一第二反應物反應,留下不超過 約一單層之第二材料。 2. 如申請專利範圍第1項所述之方法,其中該不平坦 之矽層包括一半球形矽晶粒。 3. 如申請專利範圍第1項所述之方法,其中利用暴露 在一第一反應物中,形成不超過約一單層之第一材料於該 不平坦之矽層的步驟包括供應一第一化學品排除該第二反 應物,而使該第一材料與一第二反應物反應,留下不超過 約一單層之第二材料之步驟包括供應一第二化學品排除該 第一反應物。 4. 如申請專利範圍第3項所述之方法,其中進一步包 括重複輪流供應該第一化學品與該第二化學品,直到形成 之一介電層厚度達到約1〇埃至200埃。 5. 如申請專利範圍第3項所述之方法,其中進一步包 括在重複輪流供應該第一化學品與該第二化學品時供應一 載氣。 6. 如申請專利範圍第5項所述之方法,其中該載氣會 再供應該第一化學品與供應該第二化學品之間排出反應 51 本紙張尺度適用中國國家標準(CNS)A4規格(2]〇χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) 一裝 ----訂 --------^1^· 經濟部智慧財產局員工消費合作社印制衣 486771 A8 B8 pQ 7017pif.doc/008 六、申請專利範圍 物。 7. 如申請專利範圍第6項所述之方法,其中停止供應 該第一化學品,且在供應該第二化學品之前以超過兩個反 應室體積之該載氣淸除該反應室。 8. 如申請專利範圍第1項所述之方法,其中沈積該介 電層的步驟進一步包括將該第二材料暴露在一第三反應物 下,以留下不超過一單層結構之一第三材料。 9. 如申請專利範圍第8項所述之方法,其中該介電層 成分包括兩不同之金屬與氧。 10. 如申請專利範圍第9項所述之方法,其中該介電層 成分包括一金屬、砂與氧。 11. 如申請專利範圍第1項所述之方法,其中該介電層 之介電常數大於10。 12. 如申請專利範圍第11項所述之方法,其中該介電 層係選自氧化鋁、氧化鉬、氧化鈦、氧化锆、氧化鉍、氧 化紿、氧化矽以及其混合物與化合物其中之一。 13. 如申請專利範圍第11項所述之方法,其中該介電 層之介電常數等於或大於20。 14. 如申請專利範圍第1項所述之方法,其中該第一材 料會自我終止。 15. 如申請專利範圍第14項所述之方法,其中該第一 材料之末端爲鹵基。 16. 如申請專利範圍第15項所述之方法,其中該第一 反應物包括一鹵化锆,而第二反應物包括一含氧之氣體 52 本紙張尺度適用中國國家標準(CNS)A4規格(2]0 X 297公f ) -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 486721
    專利範圍修正頁 六、申請專利範圍 A8 B8 C8 D8 修正日期91/3/21 經濟部智慧財產局員工消費合作社印製 源。 17. 如申請專利範圍第14項所述之方法,其中該第一 材料之末端爲有機根。 18. 如申請專利範圍第1項所述之方法,其中該第一材 料包括有甲基根的鋁,而該第二反應物包括一含氧之氣體 源。 19. 如申請專利範圍第1項所述之方法,其中該第一材 料包括乙氧基之钽,而第2_反應物包括一含氧之氣體源。 20. 如申請專利範圍第1項所述之方法,其中進一步包 括在形成不超過一單層結構以前,形成一阻障層直接位於 該不平坦之矽層表面。 21. 如申請專利範圍第20項所述之方法,其中形成該 阻障層之步驟包括氮化該不平坦之矽層。 22. 如申請專利範圍第20項所述之方法,其中形成該 阻障層之步驟包括氧化該不平坦之矽層表面以形成氧化 砂,並氮化該氧化砂。 23. 如申請專利範圍第1項所述之方法,其中該下電極 共形於一三度空間的疊結構。 24. 如申請專利範圍第23項所述之方法,其中該下電 極共形於位於該半導體基底中之一溝渠。 25. 如申請專利範圍第23項所述之方法,其中該三度 空間摺疊結構係位於該半導體基底上。 26. 如申請專利範圍第25項所述之方法,其中該三度 空間摺疊結構定義出一內部體積。 __53 (請先閱讀背面之注意事項再填寫本頁) 0 訂_________線ill·___________________ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 486771 A8 B8 pQ 7017pif.doc/008 jgg 六、申請專利範圍 27. 如申請專利範圍第26項所述之方法,其中該三度 空間摺疊結構共形於一圓柱體。 28. 如申請專利範圍第1項所述之方法,其中進一步包 括沈積一導電層於該介電層上,其中沈積該導電層之步驟 包括: 利用暴露在一第三反應物下,形成一不超過一單層結 構之一第三材料於該介電層上;以及 將一第四反應物與該第三材料反應,以留下不超過一 單層結構之一第四材料。 29. 如申請專利範圍第28項所述之方法,其中該第三 反應物包括一金屬化合物,該第四反應物包括一含氮之氣 體源,而該導電層包括一金屬氮化物。 30. —種在一積體電路之一不平坦的下電極上形成一介 電層的方法,該介電層之介電常數大於10,該方法包括下 列步驟: 在一自我限制反應中形成不超過一單層結構之含有金 屬的成分;以及 使該單層結構與一含氧之反應物進行反應。 31. 如申請專利範圍第30項所述之方法,其中該不平 坦之下電極包括矽。 32. 如申請專利範圍第31項所述之方法,其中該不平 坦之下電極具有半球形矽晶粒。 33. 如申請專利範圍第30項所述之方法,其中該自我 限制反應包括形成一具有鹵基的金屬層。 54 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公望) -----.1 —----•裝--------訂---------蘿. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 48677l· - s、 气丨-;月 >丨9赞7^.(ioc/002 ^ ft.、 六、申請專利範圍 34. 如申請專利範圍第33項所述之方法,其中使該單 層結構與一含氧之反應物進行反應包括管能基的置換反 應。 35. 如申請專利範圍第30項所述之方法,其中進一步 包括重複形成不超過一單層結構之步驟以及與含氧反應物 反應之步驟至少10次,直到該介電層具有一預定厚度。 36. —種積體電路中的一電容器結構,包括: 一下電極,具有一微觀的三度空間摺疊結構,且具有 一不平坦之矽表面;以及 一電容器介電質,其介電常數大於10,與該不平坦之 矽表面共形,該介電質具有之一最大厚度要小於1〇〇埃, 而其最小厚度要大於最大厚度的95%。 37. 如申請專利範圍第36項所述之電容器結構,其中 進一步包括一上電極,共形於該介電質,該上電極係沿著 整個不平坦表面與該介電質相接觸。 38. 如申請專利範圍第37項所述之電容器結構,其中 該上電極包括一導電阻障層沿著整個不平坦表面與該介電 質相接觸,而有一更導電之材料形成於該導電阻障層上。 39. 如申請專利範圍第37項所述之電容器結構,其中 該上電極包括一金屬元素層沿著整個不平坦表面與該介電 質相接觸。 40. 如申請專利範圍第36項所述之電容器結構,其中 該電容器介電層包括一金屬氧化物。 41. 如申請專利範圍第40項所述之電容器結構,其中 _55_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 0 線丨· 486771 A8 B8 7017pif.doc/008 ^ Do 六、申請專利範圍 該金屬氧化物包括氧化鋁。 42. 如申請專利範圍第40項所述之電容器結構,其中 該金屬氧化物包括過渡金屬之氧化物。 (請先閱讀背面之注意事項再填寫本頁) 43. 如申請專利範圍第42項所述之電容器結構,其中 進一步包括一共形之阻障層形成於該不平坦之矽層與該介 電質之間。 44. 如申請專利範圍第42項所述之電容器結構,其中 該金屬氧化層包括第四族過渡金屬之氧化物。 45. 如申請專利範圍第42'項所述之電容器結構,其中 該金屬氧化物包括一第五族過渡金屬之氧化物。 46. 如申請專利範圍第36項所述之電容器結構,其中 該介電質包括一二元材料。 47. 如申請專利範圍第46項所述之電容器結構,其中 該介電質包括一金屬、矽與氧。 48. 如申請專利範圍第36項所述之電容器結構,其中 該介電層之厚度介於25埃與100埃之間。 49. 如申請專利範圍第36項所述之電容器結構,其中 該最小厚度至少爲該最大厚度之98%。 經濟部智慧財產局員工消費合作社印製 50. —種具有複數個記憶胞之積體電路,每一記憶胞包 括一電容器,該電容器包括: 一第一電極,具有一表面與一半球形矽晶粒共形; 一電容器介電層,與該第一電極相鄰,且共形於該半 球形矽晶粒,該電容器介電層包括一材料係選自氧化鋁、 氧化钽、氧化鈦、氧化锆、氧化鉍、氧化飴、氧化矽以及 56 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) A8 B8 C8 D8 舉m jt I;"' ^ · Ή f:j 條·ji oc/002 六、申請專利範圍 其混合物與化合物其中之一;以及 一第二電極,相鄰並共形於該半球形矽晶粒。 51. 如申請專利範圍第50項所述之積體電路,其中該 電容器介電層之厚度介於10埃至200埃之間。 52. 如申請專利範圍第50項所述之積體電路,其中該 電容器介電層具有大於該第一電極之一最大厚度,且其最 小厚度大於該第一電極而不超過該最大厚度之95%。 53. 如申請專利範圍第50項所述之積體電路,其中該 電容器介電層進一步包括複數個小層。 54. 如申請專利範圍第53項所述之積體電路,其中該 些小層包括複數個具有一第一金屬氧化物之小層與複數個 其他金屬氧化物之小層交替配置。 55. —種在半球形矽晶粒表面上形成一電容器介電質的 方法,包括下列步驟: 在一第一階段中以不超過一單層結構之具有根基的金 屬化合物塗佈該半球形砂晶粒表面; 在不同於該第一階段的一第二階段中,以氧露換掉該 金屬化合物之根基;以及 重複該第一階段與該第二階段至少10個循環。 56. 如申請專利範圍第55項所述之方法,其中每一循 環包括一第三階段,該第三項包括在第二階段之後吸附不 超過一單層結構之具有根基之第二金屬。 57. 如申請專利範圍第56項所述之方法,其中每一循 環進一步包括一第四階段,該第四階段包括以氧置換該第 57 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 m ·*------- — 訂---------線 141^----------------------- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 486771 A8 B8 7017pif.doc/008 惡 六、申請專利範圍 二金屬之根基。 58. 如申請專利範圍第57項所述之方法,其中該第一 階段包括加入一第一含氧成分。 59. 如申請專利範圍第58項所述之方法,其中進一步 包括加入一不同的含氧成分。 60. 如申請專利範圍第55項所述之方法,其中該具有 根基之金屬包括一金屬乙氧基化合物。 61. 如申請專利範圍第55項所述之方法,其中該具有 根基之金屬包括一金屬氯化物化合物 62. 如申請專利範圍第55項所述之方法,其中包括將 溫度維持在低於攝氏350度。 63. —種在積體電路中形成具有大表面積的電容器的方 法,包括下列步驟= 形成一下電極,具有一三度空間摺疊結構; 在該三度空間摺疊結構上加上一不平坦表面;以及 利用循環交替的供應至少兩個自我終止的化學品,來 沈積一共形層於該不平坦表面上,該共形層構成部分之該 電容器。 64. 如申請專利範圍第63項所述之方法,其中該共形 層包括一電容器介電質直接與該下電極接觸。 65. 如申請專利範圍第63項所述之方法,其中該共形 層包括一薄的導電層覆蓋於該電容器介電質上,其中該電 容器介電質直接接觸該下電極。 66. 如申請專利範圍第65項所述之方法,其中該下電 58 本紙張尺度適用中國國家標準(CNS)A4規格(2]0 χ 297公釐) -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 486771 7017pif.doc/008 六、申請專利範圍 極包括一半球形砍晶粒。 A8 B8 C8 D8 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作杜印製 9 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)
TW089125655A 1999-12-03 2001-03-13 Conformal thin films over textured capacitor electrodes TW486771B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/452,844 US6780704B1 (en) 1999-12-03 1999-12-03 Conformal thin films over textured capacitor electrodes

Publications (1)

Publication Number Publication Date
TW486771B true TW486771B (en) 2002-05-11

Family

ID=23798178

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089125655A TW486771B (en) 1999-12-03 2001-03-13 Conformal thin films over textured capacitor electrodes

Country Status (4)

Country Link
US (3) US6780704B1 (zh)
JP (1) JP5079183B2 (zh)
KR (1) KR100737304B1 (zh)
TW (1) TW486771B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition

Families Citing this family (539)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100335775B1 (ko) * 1999-06-25 2002-05-09 박종섭 반도체 소자의 캐패시터 제조 방법
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
AU1208201A (en) * 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100363084B1 (ko) * 1999-10-19 2002-11-30 삼성전자 주식회사 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6461931B1 (en) * 2000-08-29 2002-10-08 Micron Technology, Inc. Thin dielectric films for DRAM storage capacitors
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US7112503B1 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US6420230B1 (en) * 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US7378719B2 (en) * 2000-12-20 2008-05-27 Micron Technology, Inc. Low leakage MIM capacitor
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7078104B2 (en) * 2001-02-23 2006-07-18 The Gates Corporation Bonded part and method for producing same
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6620702B2 (en) * 2001-06-25 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of producing low thermal budget high dielectric constant structures
US6511867B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Utilizing atomic layer deposition for programmable device
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4120938B2 (ja) * 2001-08-23 2008-07-16 日本電気株式会社 高誘電率絶縁膜を有する半導体装置とその製造方法
US7160817B2 (en) * 2001-08-30 2007-01-09 Micron Technology, Inc. Dielectric material forming methods
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6819540B2 (en) * 2001-11-26 2004-11-16 Shipley Company, L.L.C. Dielectric structure
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
FR2834387B1 (fr) * 2001-12-31 2004-02-27 Memscap Composant electronique incorporant un circuit integre et un micro-condensateur
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) * 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
JP4621241B2 (ja) * 2002-03-18 2011-01-26 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
AU2003228402A1 (en) * 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US7105065B2 (en) 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP2004039821A (ja) * 2002-07-02 2004-02-05 Elpida Memory Inc 半導体装置の製造方法
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
KR100985363B1 (ko) * 2002-07-15 2010-10-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리 장치
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
JP2004063807A (ja) * 2002-07-29 2004-02-26 Elpida Memory Inc 半導体装置の製造方法
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
WO2004012236A2 (en) * 2002-07-30 2004-02-05 Stephen John Henderson High reflectivity and high flux x-ray optic element and method of making same using ald
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
KR100450681B1 (ko) 2002-08-16 2004-10-02 삼성전자주식회사 반도체 메모리 소자의 커패시터 및 그 제조 방법
US6897106B2 (en) * 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040087081A1 (en) * 2002-11-01 2004-05-06 Aitchison Bradley J. Capacitor fabrication methods and capacitor structures including niobium oxide
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
KR100522427B1 (ko) * 2002-12-30 2005-10-20 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7071519B2 (en) 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6863725B2 (en) * 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
KR100518560B1 (ko) * 2003-03-04 2005-10-04 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US7294360B2 (en) 2003-03-31 2007-11-13 Planar Systems, Inc. Conformal coatings for micro-optical elements, and method for making the same
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US20050170665A1 (en) * 2003-04-17 2005-08-04 Fujitsu Limited Method of forming a high dielectric film
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
JP4563655B2 (ja) * 2003-04-23 2010-10-13 株式会社日立製作所 半導体装置及びその製造方法
US7092234B2 (en) * 2003-05-20 2006-08-15 Micron Technology, Inc. DRAM cells and electronic systems
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR100725690B1 (ko) * 2003-07-08 2007-06-07 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US7440255B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US7230292B2 (en) * 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7253104B2 (en) * 2003-12-01 2007-08-07 Micron Technology, Inc. Methods of forming particle-containing materials
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
KR100552704B1 (ko) * 2003-12-17 2006-02-20 삼성전자주식회사 반도체 장치의 불휘발성 커패시터, 이를 포함하는 반도체메모리 소자 및 그 동작방법
US8513634B2 (en) * 2003-12-17 2013-08-20 Samsung Electronics Co., Ltd. Nonvolatile data storage, semicoductor memory device including nonvolatile data storage and method of forming the same
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7115929B2 (en) * 2004-04-08 2006-10-03 Micron Technology, Inc. Semiconductor constructions comprising aluminum oxide and metal oxide dielectric materials
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7651729B2 (en) * 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100581993B1 (ko) * 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP2008507847A (ja) * 2004-07-23 2008-03-13 サンデュー・テクノロジーズ・エルエルシー 高エネルギー貯蔵密度及び低esrを有するコンデンサ
US20060022304A1 (en) * 2004-07-29 2006-02-02 Rohm And Haas Electronic Materials Llc Dielectric structure
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7482037B2 (en) * 2004-08-20 2009-01-27 Micron Technology, Inc. Methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060060930A1 (en) * 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
KR100580771B1 (ko) * 2004-10-01 2006-05-15 주식회사 하이닉스반도체 플래쉬 메모리소자의 형성방법
US7190016B2 (en) * 2004-10-08 2007-03-13 Rohm And Haas Electronic Materials Llc Capacitor structure
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
KR100593645B1 (ko) * 2004-10-28 2006-06-28 삼성전자주식회사 반도체 장치의 제조 방법
JP3998678B2 (ja) * 2004-11-01 2007-10-31 株式会社東芝 半導体装置及びその製造方法
JP2008522401A (ja) 2004-11-26 2008-06-26 エヌエックスピー ビー ヴィ 表面域の改質方法および電子デバイス
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR100667633B1 (ko) * 2004-12-20 2007-01-12 삼성전자주식회사 박막 제조 방법 및 이를 이용한 게이트 구조물,커패시터와 플래시 메모리 장치의 제조 방법
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
FR2890982B1 (fr) * 2005-09-21 2008-05-02 St Microelectronics Sa Procede de realisation d'une couche dielectrique sur un materiau porteur et un circuit integre comprenant un condensateur incorporant une couche dielectrique
US7582562B2 (en) 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP2007165733A (ja) * 2005-12-16 2007-06-28 Elpida Memory Inc 半導体装置及びその製造方法
DE102005062917A1 (de) * 2005-12-29 2007-07-12 Infineon Technologies Ag Atomlagenabscheideverfahren
GB0601319D0 (en) 2006-01-23 2006-03-01 Imp Innovations Ltd A method of fabricating pillars composed of silicon-based material
JP2007201083A (ja) * 2006-01-25 2007-08-09 Elpida Memory Inc キャパシタの製造方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
CN101496150B (zh) 2006-07-31 2012-07-18 应用材料公司 控制外延层形成期间形态的方法
JP5090451B2 (ja) 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
DE102007002965A1 (de) * 2007-01-19 2008-07-24 Infineon Technologies Ag Verfahren zur Herstellung einer kapazitiven Struktur oder Varistorstruktur in einem Graben eines Halbleiterkörper
US20080214015A1 (en) * 2007-03-02 2008-09-04 Tim Boescke Semiconductor devices and methods of manufacture thereof
WO2008115498A1 (en) * 2007-03-19 2008-09-25 Nanosys, Inc. Methods for encapsulating nanocrystals
US20100110728A1 (en) 2007-03-19 2010-05-06 Nanosys, Inc. Light-emitting diode (led) devices comprising nanocrystals
FR2915315B1 (fr) * 2007-04-19 2009-06-26 St Microelectronics Crolles 2 Procede de fabrication d'un condensateur a stabilite elevee et condensateur correspondant.
GB0709165D0 (en) 2007-05-11 2007-06-20 Nexeon Ltd A silicon anode for a rechargeable battery
JP2008283026A (ja) 2007-05-11 2008-11-20 Elpida Memory Inc 半導体装置の製造方法および半導体装置
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
GB0713898D0 (en) * 2007-07-17 2007-08-29 Nexeon Ltd A method of fabricating structured particles composed of silcon or a silicon-based material and their use in lithium rechargeable batteries
US7851307B2 (en) * 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR100902106B1 (ko) * 2007-10-31 2009-06-09 주식회사 하이닉스반도체 텅스텐함유막이 포함된 패턴을 구비한 반도체소자의 제조방법
KR20090068179A (ko) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8900422B2 (en) * 2008-04-23 2014-12-02 Intermolecular, Inc. Yttrium and titanium high-K dielectric film
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7820506B2 (en) 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
TW201014926A (en) * 2008-10-15 2010-04-16 Nat Univ Tsing Hua Method for producing metallic oxide film having high dielectric constant
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100195261A1 (en) * 2009-02-02 2010-08-05 Space Charge, LLC Capacitors using preformed dielectric
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US8420534B2 (en) * 2010-10-12 2013-04-16 Micron Technology, Inc. Atomic layer deposition of crystalline PrCaMnO (PCMO) and related methods
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5963456B2 (ja) * 2011-02-18 2016-08-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及び基板処理方法
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2013002285A1 (ja) * 2011-06-30 2013-01-03 京セラ株式会社 アルミナ膜の形成方法および太陽電池素子
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8426270B2 (en) * 2011-07-22 2013-04-23 Intermolecular, Inc. Memory device with a textured lowered electrode
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US8664076B2 (en) * 2011-09-21 2014-03-04 Texas Instruments Incorporated Method of forming a robust, modular MIS (metal-insulator-semiconductor) capacitor with improved capacitance density
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20150162369A1 (en) * 2013-12-09 2015-06-11 Tower Semiconductor Ltd. Single-Poly Floating Gate Solid State Direct Radiation Sensor Using STI Dielectric And Isolated PWells
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177185B2 (en) * 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6583014B2 (ja) * 2016-01-22 2019-10-02 株式会社デンソー 半導体装置の製造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) * 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11121209B2 (en) 2017-03-27 2021-09-14 International Business Machines Corporation Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11038153B2 (en) 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20230052894A (ko) * 2020-08-19 2023-04-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 금속 산화물의 제조 방법
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202210653A (zh) * 2020-09-07 2022-03-16 日商半導體能源研究所股份有限公司 金屬氧化物膜、半導體裝置以及其製造方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US5519234A (en) * 1991-02-25 1996-05-21 Symetrix Corporation Ferroelectric dielectric memory cell can switch at least giga cycles and has low fatigue - has high dielectric constant and low leakage current
US5053917A (en) * 1989-08-30 1991-10-01 Nec Corporation Thin film capacitor and manufacturing method thereof
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5182232A (en) * 1991-04-08 1993-01-26 Micron Technology, Inc. Metal silicide texturizing technique
US5313089A (en) * 1992-05-26 1994-05-17 Motorola, Inc. Capacitor and a memory cell formed therefrom
JPH0677402A (ja) 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
US5187638A (en) 1992-07-27 1993-02-16 Micron Technology, Inc. Barrier layers for ferroelectric and pzt dielectric on silicon
US5392189A (en) 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
JPH07221034A (ja) * 1994-01-31 1995-08-18 Nec Corp 半導体装置の製造方法
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
US5566045A (en) 1994-08-01 1996-10-15 Texas Instruments, Inc. High-dielectric-constant material electrodes comprising thin platinum layers
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
US6331325B1 (en) 1994-09-30 2001-12-18 Texas Instruments Incorporated Barium strontium titanate (BST) thin films using boron
KR100199346B1 (ko) 1995-04-04 1999-06-15 김영환 반도체 소자의 전하저장전극 형성방법
DE59510080D1 (de) 1995-04-24 2002-04-04 Infineon Technologies Ag Halbleiter-Speichervorrichtung unter Verwendung eines ferroelektrischen Dielektrikums und Verfahren zur Herstellung
US6088216A (en) * 1995-04-28 2000-07-11 International Business Machines Corporation Lead silicate based capacitor structures
US5633781A (en) 1995-12-22 1997-05-27 International Business Machines Corporation Isolated sidewall capacitor having a compound plate electrode
US5650351A (en) * 1996-01-11 1997-07-22 Vanguard International Semiconductor Company Method to form a capacitor having multiple pillars for advanced DRAMS
US5754390A (en) * 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5554557A (en) * 1996-02-02 1996-09-10 Vanguard International Semiconductor Corp. Method for fabricating a stacked capacitor with a self aligned node contact in a memory cell
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
TW468253B (en) 1997-01-13 2001-12-11 Hitachi Ltd Semiconductor memory device
US6218260B1 (en) * 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
KR19990031571A (ko) * 1997-10-13 1999-05-06 윤종용 반구형 실리콘층을 이용하는 커패시터 형성방법
US6200487B1 (en) * 1997-11-05 2001-03-13 Zodiac Pool Care, Inc. In-line, in-pool water purification system
JP3221376B2 (ja) * 1997-11-07 2001-10-22 日本電気株式会社 半導体装置の製造方法
KR19990039625A (ko) * 1997-11-13 1999-06-05 윤종용 반구형 결정립층을 이용하는 반도체 장치의 커패시터 형성 방법
KR100280206B1 (ko) 1997-12-06 2001-03-02 윤종용 고유전체 캐패시터 및 그의 제조 방법
US6184074B1 (en) 1997-12-17 2001-02-06 Texas Instruments Incorporated Method of fabrication a self-aligned polysilicon/diffusion barrier/oxygen stable sidewall bottom electrode structure for high-K DRAMS
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3191757B2 (ja) * 1998-02-03 2001-07-23 日本電気株式会社 半導体装置の製造方法
TW372365B (en) 1998-04-20 1999-10-21 United Microelectronics Corp Manufacturing method for capacitors of dynamic random access memory
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6107136A (en) 1998-08-17 2000-08-22 Motorola Inc. Method for forming a capacitor structure
KR20000020950A (ko) * 1998-09-24 2000-04-15 김영환 커패시터의 하부전극 제조방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100310824B1 (ko) 1999-01-29 2001-10-17 김영환 반도체장치의 캐패시터 및 그 제조방법
JP3408450B2 (ja) 1999-04-20 2003-05-19 日本電気株式会社 半導体装置およびその製造方法
US6200897B1 (en) * 1999-06-06 2001-03-13 United Semiconductor Corp. Method for manufacturing even dielectric layer
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6281543B1 (en) 1999-08-31 2001-08-28 Micron Technology, Inc. Double layer electrode and barrier system on hemispherical grain silicon for use with high dielectric constant materials and methods for fabricating the same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
TWI499686B (zh) * 2009-08-26 2015-09-11 Asm Inc 原子層沈積用的高濃度水脈衝

Also Published As

Publication number Publication date
US20010024387A1 (en) 2001-09-27
US20040175586A1 (en) 2004-09-09
US6831315B2 (en) 2004-12-14
JP2001200363A (ja) 2001-07-24
US6780704B1 (en) 2004-08-24
JP5079183B2 (ja) 2012-11-21
KR100737304B1 (ko) 2007-07-09
KR20010070264A (ko) 2001-07-25

Similar Documents

Publication Publication Date Title
TW486771B (en) Conformal thin films over textured capacitor electrodes
KR100622609B1 (ko) 박막 형성 방법
US7087482B2 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US7446053B2 (en) Capacitor with nano-composite dielectric layer and method for fabricating the same
KR100832415B1 (ko) 유전 접합막의 나노적층판 구조, 유전 접합막을 이용한 집적회로 및 콘덴서 구조, 및 그 형성 방법
US20130166057A1 (en) Methods for forming small-scale capacitor structures
TW200427858A (en) Atomic layer deposition of high k dielectric films
KR20050103210A (ko) Ta2O5 포함층 형성 방법
KR100363084B1 (ko) 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
KR100422565B1 (ko) 반도체 소자의 캐패시터 제조방법
JP2003100908A (ja) 高誘電膜を備えた半導体素子及びその製造方法
JP2008258623A (ja) 酸化ジルコニウム系キャパシタ及び同キャパシタの製造方法
TW201119012A (en) Semiconductor device including carbon-containing electrode and method for fabricating the same
KR100693890B1 (ko) 반응 장벽막을 갖는 반도체 장치의 제조 방법
KR19990012246A (ko) 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
KR101372162B1 (ko) 배향된 탄탈륨 펜트옥사이드 막을 제조하는 방법
US7566608B2 (en) Methods of forming thin layers including zirconium hafnium oxide and methods of forming gate structures, capacitors, and flash memory devices using the same
JP2008028051A (ja) ナノラミネート構造誘電膜の形成方法
KR20040100766A (ko) 원자층 증착법을 이용한 복합 유전막의 연속 형성방법 및이를 이용한 캐패시터의 제조방법
KR20060097807A (ko) 표면처리된 복합 유전막을 갖는 반도체 장치의 제조 방법
KR100772531B1 (ko) 캐패시터의 제조 방법
KR20130078965A (ko) 다성분계 유전막 형성 방법 및 반도체장치 제조 방법
KR100372018B1 (ko) 반도체 메모리 소자의 캐패시터 및 그 제조 방법
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
KR100656282B1 (ko) 캐패시터 제조 방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent