KR930006102B1 - 정전 척의 전압인가 방법 및 그의 장치 - Google Patents

정전 척의 전압인가 방법 및 그의 장치 Download PDF

Info

Publication number
KR930006102B1
KR930006102B1 KR1019900005692A KR900005692A KR930006102B1 KR 930006102 B1 KR930006102 B1 KR 930006102B1 KR 1019900005692 A KR1019900005692 A KR 1019900005692A KR 900005692 A KR900005692 A KR 900005692A KR 930006102 B1 KR930006102 B1 KR 930006102B1
Authority
KR
South Korea
Prior art keywords
voltage
adsorption
extinction
application
adsorption force
Prior art date
Application number
KR1019900005692A
Other languages
English (en)
Other versions
KR900017265A (ko
Inventor
도시야 와다나베
데쯔오 키다바야시
Original Assignee
도또기끼 가부시끼 가이샤
고가요시네
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도또기끼 가부시끼 가이샤, 고가요시네 filed Critical 도또기끼 가부시끼 가이샤
Publication of KR900017265A publication Critical patent/KR900017265A/ko
Application granted granted Critical
Publication of KR930006102B1 publication Critical patent/KR930006102B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

내용 없음.

Description

정전 척의 전압인가 방법 및 그의 장치
제1도는 본 발명에 관한 정전 척의 전압인가 장치의 블록 구성도를 나타내며,
제2도는 및 제3도는 정전 척에 흡착된 피흡착제의 전류 흡착력의 측정결과를 나타내는 그래프이며,
제4도는 단극형의 정전 척의 구성도이다.
본 발명은 정전 척(Chuck)에 전압을 인가하는 방법 및 그 장치에 관한 것으로서, 특히, 정전 척에 흡착된 피흡착제의 이탈을 용이하게 하는 전압인가 방법 및 그 장치에 관한 것이다.
정전 척의 흡착판에 피흡착물을 이탈시킬 때에 이탈을 용이하게 하기 위하여 정전 척의 전극에 인가하는 전압의 극성을 일시적으로 반전(反轉)시켜 흡착시와는 반대의 극성으로 하는 기술을 예를 들면, 일본국 특허공소 소47-39392호에 의해 알려져 있다.
그러나, 극성의 반전에 의해 단순히 흡착시에 인가한 전압치와 같은 역극성의 전압을 인가하여도 잔류 흡착력이 소멸 또는 저감되어 피흡착물을 용이하게 이탈될 수 있을 때까지 장시간(수10초이상)요하고, 작업효율이 나쁘다.
본 발명은 정전 척의 전극에 소정치의 흡착전압 VN을 인가하여 피흡착제를 흡착시키는 스텝과 그 후 피흡착제를 이탈시키기는 전에 흡착전압 VN과를 역극성에서 그의 전압치가 흡착전압 VN보다 큰 소정치의 잔류 흡착력 소멸전압 VR을 소정시간 인가하는 스텝으로 되는 정전 척의 전압인가 방법을 제공한다.
인가시간의 길이는 잔류 흡착력 소멸전압 VR의 인가전압치에 역비례되어 설정된다.
잔류 흡착력 소멸전압 VR의 전압치는 흡착전압 VN 1.5~2배의 범위이며, 그 의 전압인가 시간은 10~5초 정도에 선택된다. 또한, 잔류 흡착력 소멸전압 VR은 서서히 그리고 스무스하게 또는 단계적으로 승압된다.
본 발명에 의하면, 피흡착제의 이탈시 흡착시 인가전압보다 고전압에서 역극성의 잔류 흡착력 소멸전압을 정전 척에 인가함으로써 잔류 흡착력은 단시간에 소멸 또는 저감되어 피흡착제의 이탈이 용이하게 된다.
또한, 잔류 흡착력 소멸전압의 인가시간은 인간전압치의 증가에 역비례되어 짧게 설정함으로써 그이 소멸전압인가에 의하여 다시 피흡착제가 흡착되는 것을 방지한다.
또한, 이 소멸전압의 전압치는 흡착정압의 1.5~2배에서 인가시간은 5~10초 정도로 설정하면 잔류 흡착력의 소멸 또는 저감의 제어가 용이하다. 예를 들면, 웨이퍼 사이즈의 대소동, 피흡착물을 형성등에 따라 안정한 잔류 흡착력 저감효과를 얻을 수 있다.
또한, 잔류 흡착력 소멸전압을 서서히 승압함으로써 이 전압을 소정치까지 일시에 승압하는 경우에 비하여 전압인가시에 흐르는 큰 순간전류에 의해 디바이스가 악영향을 받을 우려가 저감된다.
본 발명의 다른 특징 및 이점은 도면을 참조하여 아래의 바람직한 실시예의 상세한 설명에 의해 명백해질 것이다.
제1도에 나타난 바와 같이, 정전 척(1)은 알루미나 산화티탄계의 유전체로 된 시이트(2)내에 1쌍의 빗상의 전극(3), (4)를 서로 빗살의 부분이 상대의 빗살 사이에 끼워지도록 구성되어 있다. 이 정전 척(1)의 윗면에는 피흡착제로서 예를 들면, 실리콘 웨이퍼(5)가 재치되며, 전극(3), (4) 사이에 전압이 인가되면 죤센-라벡크효과(Johnsen-Rahbek effect)에 의해 실리콘 웨이퍼(5)가 흡착된다. 유도체층의 두께는 300㎛, 체적고유 저항은 1013Ω㎝이다.
전압 인가장치(6)는 전극(3), (4)에 전압을 공급하기 위한 장치이며, 그의 출력단자(7), (8)과 각 전극(3), (4)는 접속선(9), (10)에 의해 접속되어 있다. 전압 인가장치(6)은 인가 전압제어회로(11)에서 부여되는 데이터에 의해 출력전압을 단자(12), (13)사이에 발생하는 프로그래머블 전압공급원(이하 전원이라 기재함)(14)와 이 전원(14)와 정전 척(1) 사이에 개설된 스윗치 수단(15) 및 인가 전압제어회로(11)에 대하여 각종의 설정조건을 부여하는 흡착전압치 설정수단(16), 소멸전압치 설정수단(17), 소멸전압 인가시간 설정수단(18)로 구성된다.
인가전압 제어회로(이하 "제어회로"라 기재함)(11)은 마이크로 프로세서 유니트(이하 MPU 라고 기재함)(19), ROM(20), RAM(21), 타이머(22)로 구성된다. ROM(20)에는 인가전압 제어에 관한 프로그램이 격납됨과 동시에 후술하는 실험 데이터에 기초로 한 소멸 전압치와 그이 인가시간에 관한 데이터가 격납되어 있다. 각 설정수단(16), (17), (18)은 데지스윗치등의 설정치 입력수단과 MPU(19)의 버스(23)(데이타버스 및 어드레스 버스)와의 인터페이스 회로를 구비하고 있다.
또, 각 설정수단(16), (17), (18)은 눈금을 부착한 슬라이드식 볼륨에 의해 분압된 전압을 A/D변환기를 개재하여 MPU(19)로 인터페이스시킨 구성 등이어도 좋다.
제어회로(11)의 단자(24)에는 동작모드 절환스윗치(25)가 접속되어 있다. 단자(26), (27)은 흡착신호 및 탈리신호의 입력단자, 단자(28), (29)는 제어회로(11)의 전압인가 제어출력 단자이다.
스윗치 수단(15)는 정전 척(11)의 전극(3), (4)로 인가하는 전압의 극성을 절환하는 스윗치 회로이다. 스윗치 수단(15)는 브릿지 접속된 4개의 트랜지스터(30)~(33)와 트랜지스터(30), (31)를 구동하는 트랜지스터(34), (35) 및 각 트랜지스터(30)~(35)의 베이스 저항(41)~(46), 콜렉터 저항(47), (48) 및 베이스 에미터간 저항(49)~(52)로 구성된다. 또, 스윗치 수단(15)는 바이폴라 트랜지스터(bipolar transistor)이외의 전계효과 트랜지스터, 치리스터(thyristor)등의 반도체 스윗치 소자를 이용하여 구성하여도 좋으며, 또는 릴레이 등에서 구성하여도 좋다.
스윗치 수단(15)의 플러스측 및 마이너스측의 전원입력단자(36),(37)은 각각 전원(14)의 플러스 및 마이너스 출력단자(12),(13)으로 접속되어 있으며, 스윗치 수단(15)의 입력단자(38)에 H레벨의 신호가 입력되어 있는 사이는 전압 인가장치(60의 출력단자(7)에 플러스, 출력단자(8)에 마이너스 극성의 전압이 출력되며, 스윗치수단 입력단자(39)에 H레벨의 신호가 입력되어 있을 사이는 각 출력단자(7), (8)에 이것과는 역극성의 전압이 출력되는 구성이다.
다음에 전압인가장치(6)의 동작을 설명하기 전에 제2도 및 제3도에 기초로 하여 소멸전압 인가에 수반하는 잔류 흡착력의 변화특성에 대하여 설명한다.
제2도 및 제3도는 소정의 흡착조건에서 실리콘 웨이퍼(5)를 흡착시킨 후, 흡착전압의 인가를 정지한 이후의 잔류 흡착력을 제1도의 가상선에 나타내는 로드셀(40)에서 측정한 결과를 나타내는 그래프이다.
제2도는 0.005torr의 진공 분위기 중에서 흡착전압 500V를 1분간 인가한 경우를 잔류 흡착력을 나타내고 있으며, 제2도에서 선 a는 전극(3), (4) 사이를 단락(短絡)시켜 전압의 인가를 정지한 때의 잔류 흡착력의 자연적인 경시변화를, 선 b~e는 각각 흡착전압과는 역극성의 잔류흡착력 소멸전압(이하, 소멸전압이라 기재함)을 500V,700V, 1㎸, 1.5㎸인가한 때의 잔류 흡착력의 경시변화 특성을 나타낸다.
그래프로부터 알 수 있는 바와 같이. 흡착전압을 VN으로 하면 이것보다 고전위의 소멸전압 VR을 인가하는 것에서 잔류 흡착력을 단시간에 소멸 또는 저감시킬 수 있다, 한편,역극성의 소멸전압 VR을 장시간 인가하면 그의 소멸전압 VR에 의해 실리콘 웨이퍼(5)가 흡착되어 버린다, 즉 역극성의 흡착전압으로서 작용하여 버려 그의 목적을 나타낼 수 없게 된다.
제3도는 30torr의 헬륨개스 분위기 중에서 흡착전압 1KV를 1분간 인가한 경우의 잔류 흡착력 특성을 나타내는 그래프이며, 흡착 전압치 및/도는 인가시간이 증가하면 잔류 흡착력도 크게 되고, 따라서, 잔류 흡착력을 소멸 또는 저감하기 위하여 소멸전압의 인가조건도 변하게 된다.
이와 같은 정전 척(1)의 잔류 흡착력 특성을 근거로 하여 제어회로(11) 내의 RMO(20)에는 각종 흡착전압 인가조건 마다에 대응시켜 소멸전압 인가조건의 데이터를 기억시켰다.
따라서, 동작모드 절환스윗치(25)가 자동쪽으로 설정되어 있는 경우, 전압 인가장치(6)는 아래의 동작으로 된다.
MPU(19)는 흡착신호 입력단자(26)의 흡착신호가 H레벨로 되면 버스(23)을 개재하여 흡착전압 설정수단(16)의 설정전압치를 일고, 버스(23)을 개재하여 전원(14)로 설정전압치를 지시한다. 이것에 의해 전원(14)의 출력단자(12), (13) 사이에는 설정전압이 발생한다. 이어서 MPU(19)는 출력단자(28)에 H레벨의 흡착전압 인가 제어신호를 출력한다. 이것에 의해 스윗치 수단(15)의 입력단자(38)에 H레벨의 신호가 입력되며, 트랜지스터(34)을 개재하여 트랜지스터(30) 및 트랜지스터(33)가 온 상태로 되며, 전압 인가장치의 출력단자(7)에 플러스, 출력단자(8)에 마이너스 전압이 출력되며, 정전 척(1)의 전극(4)에 플러스 전압, 전극(3)에 마이너스 전압이 인가된다. 이 흡착전압 VN 인가상태는 제어회로(11)의 탈리신호 입력단자(27)로 H레벨의 탈리신호가 인가될 때까지 계속하고, 이 사이의 흡착전압 인가시간은 타이머(22)에 의해 계측된다.
탈리신호가 인가되면 MPU(19)는 출력단자(28)의 출력을 L레벨로 함과 동시에 흡착전압 VN과 흡착전압 인가시간의 데이터에 기초로 하여 ROM(20) 내에 기억되어 있는 복수의 소멸전압 인가 데이터로부터 최적인 것을 선택한다.
본 실시예에서는 상기 소멸전압의 인가에 수반하는 잔류 흡착력의 변화특성에 의하여 소멸전압 VR을 흡착전압 VN의 1.5~2배의 범위에서 소멸전압 인가시간이 5~10초 정도로 되는 소멸조건을 최적한 것으로서 우선적으로 선택하도록 선택알고리즘을 작성하고 있다.
또한, 고전위의 전압이 일시에 인가되면 상당히 큰 순간전류가 인가 개시시에 흐르는 것이 이해되나, 이것에 의한 피흡착체, 예컨대, 반도체 디바이스에 악영향을 피하기 위하여 본 실시예에서는 선택된 인가시간이 거의 전반에서 소멸전압이 설정전압까지 서서히 승압하도록 한다. 직선적 내지 스무스하게 승압되는 방법과 단계적으로 승압시키는 방법에 있으며, 어느것도 디바이스의 보호에 효과가 있으나, 스무수하게 승압시키는 방법이 효과는 크다.
그리하여 MPU(19)는 선택한 소멸 전압치를 전원(14)로 지시함과 동시에 선택한 소멸 전압인가 시간의 사이, 출력단자(29)에 H레벨의 출력을 발생한다.
이것에 의해 스윗치 수단(15)의 입력단자(39)에 H레벨의 신호가 입력되고, 트랜지스터(31) 및 트랜지스터(32)가 온 상태로 되며, 전압 인가장치 출력단자(7)에 마이너스, 출력단자(8)에 플러스 전압이 출력되고, 전극(4)에 마이너스, 전극(3)에 플러스 극성의 소멸전압 VR이 소정의 소멸전압 인가시간만 인가된다.
동작모드 절환스윗치(25)가 수동쪽으로 설정되어 있는 경우에는 MPU(19)는 탈리신호가 입력되면 소멸전압 설정수단(17)의 설정 전압치를 읽고, 전원(14)로 지시함과 동시에 소멸전압 인가시간 설정수단(18)으로부터 인가시간을 읽고, 그의 설정시간 사이에만 출력단자(29)에 H레벨로 출력을 발생한다.
또, 수동모드에 있어서는 소멸전압치 또는 소멸전압 인가시간의 어느 한 쪽만을 설정하여 다른 쪽 조건의 ROM(20)내의 데이터를 참조하여 MPU(19)가 설정되어도 좋다.
본 실시예는 쌍극형의 정전 척에 대하여 설명하였으나, 제4도에 나타낸 단극형의 척(41)에 대해서도 적용할 수 있다. 또, 제4도에 있어서 42는 유전체로 된 시이트, 43은 전극, 44는 실리콘 웨이퍼(5) 등의 피흡착물과의 접촉편, 45,46은 제1도에 나타난 전압 인가장치(6)의 출력단자(7), (8)의 접속단자이다.
본 발명의 바람직한 실시예가 상기에서 기술되었을지라도 본 발명의 정신 또는 필수특성으로부터 떠남 없이 다른 특정 형태로 구체화 할 수 있음이 이해되어야 한다. 본 실시에는 예시적인 것이지 한정적인 것은 아니다, 본 발명의 범위는 상기 기재보다 첨부된 특허청구의 범위에 의해 정해져야 한다.

Claims (6)

  1. 정전 척의 전극에 흡착전압 VN을 인가하여 피흡착제를 흡착시키는 스텝과, 그후, 피흡착제를 탈리시키기 전에 잔류 흡착력 소멸전압 VR을 인가하는 스텝으로 되고, 이 잔류 흡착력 소멸전압 VR은 상기 흡착전압 VN과는 역극성에서 상기 흡착전압 VN의 전압치보다도 큰 정전 척의 전압 인가방법.
  2. 제1항에 있어서, 잔류 흡착력 소멸전압 VR의 인가시간은 소멸전압의 인가전압에 역비례시켜 설정되는 전압 인가방법.
  3. 제2항에 있어서, 잔류 흡착력 소멸전압 VR의 값은 흡착전압 VN의 1.5~2배의 범위에서 설정되며, 소멸전압 VR의 인가시간은 소멸전압 VR의 상기 설정 전압치에 응하여 10~5초의 범위에서 설정되는 전압인가방법.
  4. 제1항에 있어서, 잔류 흡착력 소멸전압 VR은 그의 인가시에 일정치에 다다를 때까지 서서히 승압하도록 하는 전압 인가방법.
  5. 정전 척(1)에 플러스와 마이너스 극성의 전압을 출력하는 1쌍의 출력 4 단자(7,8)와, 전압 공급원 수단(14)와, 이 전압 공급원 수단(14)와 상기 정전척(1)과의 사이에 개설되며, 정전 척으로 인가하는 전압의 극성을 입력된 전압 인가제어신호에 응하여 절환하는 스윗치 수단(15)과, 상기 전원 공급원 수단(14)에 대하여 일정 전압치를 지시함과 동시에 상기 스윗치수단(15)에 대하여 흡착전압의 상기 전압인가 제어신호 또는 잔류 흡착력 소멸전압의 상기 전압 인가제어신호를 선택적으로 출력하는 인가전압 제어수단(11)이며, 상기 잔류 흡착력 소멸전압인가 제어신호는 소정시간 출력되는 인가전압 제어수단(11)으로 되고, 상기 스윗치 수단(15)는 잔류 흡착력 소멸전압의 상기 전압 인가제어신호가 입력되면 흡착전압의 상기 전압인가 제어신호가 될 때와 역의 극성의 전압을 상기 1쌍의 출력단자(7,8)에 출력하도록 구성되어 있으며, 잔류 흡착력 소멸전압의 상기 전압치는 흡착전압의 상기 전압치보다 크게 설정되어 있는 정전 척의 전압인가 장치.
  6. 제5항에 있어서, 인가전압 제어수단(11)에는 흡착전압치 및 흡착전압 인가시간에 대하여 최적인 잔류 흡착력 소멸 전압치 및 이 소멸 전압 인가시간에 관한 데이터가 격납되어 있는 전압인가장치.
KR1019900005692A 1989-04-25 1990-04-23 정전 척의 전압인가 방법 및 그의 장치 KR930006102B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP89-105679 1989-04-25
JP1105679A JP2779950B2 (ja) 1989-04-25 1989-04-25 静電チャックの電圧印加方法および電圧印加装置
JP1-105679 1989-04-25

Publications (2)

Publication Number Publication Date
KR900017265A KR900017265A (ko) 1990-11-15
KR930006102B1 true KR930006102B1 (ko) 1993-07-07

Family

ID=14414113

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900005692A KR930006102B1 (ko) 1989-04-25 1990-04-23 정전 척의 전압인가 방법 및 그의 장치

Country Status (7)

Country Link
US (1) US5117121A (ko)
EP (1) EP0395340B1 (ko)
JP (1) JP2779950B2 (ko)
KR (1) KR930006102B1 (ko)
CA (1) CA2015383A1 (ko)
DE (1) DE69009849T2 (ko)
MY (1) MY105566A (ko)

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5539609A (en) * 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
US5684669A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5444597A (en) * 1993-01-15 1995-08-22 Blake; Julian G. Wafer release method and apparatus
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5459632A (en) * 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
TW293231B (ko) * 1994-04-27 1996-12-11 Aneruba Kk
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JPH08250579A (ja) * 1995-03-14 1996-09-27 Mitsubishi Electric Corp 半導体製造装置の静電チャック用電源および半導体製造装置
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5835333A (en) * 1995-10-30 1998-11-10 Lam Research Corporation Negative offset bipolar electrostatic chucks
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5737175A (en) * 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
JPH1014266A (ja) * 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
US5793192A (en) * 1996-06-28 1998-08-11 Lam Research Corporation Methods and apparatuses for clamping and declamping a semiconductor wafer in a wafer processing system
KR100491190B1 (ko) * 1996-06-28 2005-09-13 램 리서치 코포레이션 웨이퍼처리시스템에서반도체웨이퍼를클램핑하고디클램핑하는방법과장치
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5818682A (en) * 1996-08-13 1998-10-06 Applied Materials, Inc. Method and apparatus for optimizing a dechucking period used to dechuck a workpiece from an electrostatic chuck
US5861086A (en) * 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
US5835335A (en) * 1997-03-26 1998-11-10 Lam Research Corporation Unbalanced bipolar electrostatic chuck power supplies and methods thereof
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US5880924A (en) * 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
US5909355A (en) * 1997-12-02 1999-06-01 Applied Materials, Inc. Ceramic electrostatic chuck and method of fabricating same
US5948986A (en) * 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
US5886865A (en) * 1998-03-17 1999-03-23 Applied Materials, Inc. Method and apparatus for predicting failure of an eletrostatic chuck
US6104595A (en) * 1998-04-06 2000-08-15 Applied Materials, Inc. Method and apparatus for discharging an electrostatic chuck
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6163448A (en) * 1998-07-31 2000-12-19 Applied Materials, Inc. Apparatus and method for ex-situ testing of performance parameters on an electrostatic chuck
US7218503B2 (en) * 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6790375B1 (en) * 1998-09-30 2004-09-14 Lam Research Corporation Dechucking method and apparatus for workpieces in vacuum processors
US6965506B2 (en) * 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6259592B1 (en) 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6185085B1 (en) * 1998-12-02 2001-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. System for transporting and electrostatically chucking a semiconductor wafer or the like
US6214413B1 (en) 1999-01-13 2001-04-10 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
JP2000260855A (ja) * 1999-03-10 2000-09-22 Mitsubishi Electric Corp ウェハ処理装置
US6258227B1 (en) 1999-03-13 2001-07-10 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6567257B2 (en) 2000-04-19 2003-05-20 Applied Materials, Inc. Method and apparatus for conditioning an electrostatic chuck
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6403322B1 (en) 2001-03-27 2002-06-11 Lam Research Corporation Acoustic detection of dechucking and apparatus therefor
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6490145B1 (en) 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
KR20030020072A (ko) * 2001-09-01 2003-03-08 주성엔지니어링(주) 유니폴라 정전척
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100480817B1 (ko) * 2002-03-20 2005-04-06 엘지.필립스 엘시디 주식회사 합착기의 제어 방법
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US7430104B2 (en) * 2003-03-11 2008-09-30 Appiled Materials, Inc. Electrostatic chuck for wafer metrology and inspection equipment
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
JP2005044893A (ja) * 2003-07-24 2005-02-17 Canon Inc 基板保持装置
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US6947274B2 (en) * 2003-09-08 2005-09-20 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on an electrostatic chuck using wafer inertial confinement by applying a single-phase square wave AC clamping voltage
US7072166B2 (en) * 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) * 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
MX338215B (es) * 2011-08-30 2016-04-06 Watlow Electric Mfg Sistema y metodo para controlar un arreglo termico.
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101812666B1 (ko) * 2013-08-05 2017-12-27 어플라이드 머티어리얼스, 인코포레이티드 얇은 기판 취급을 위한 정전 캐리어
NL2013676A (en) * 2014-02-11 2015-08-17 Asml Netherlands Bv A method of clamping articles for a lithographic apparatus, a controller for a lithographic apparatus, a chuck, a method of using a chuck and a device manufacturing method.
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6418694B2 (ja) * 2015-03-26 2018-11-07 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6851270B2 (ja) * 2017-06-16 2021-03-31 東京エレクトロン株式会社 静電吸着方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
US3983401A (en) * 1975-03-13 1976-09-28 Electron Beam Microfabrication Corporation Method and apparatus for target support in electron projection systems
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS62255039A (ja) * 1986-11-21 1987-11-06 Kureha Chem Ind Co Ltd 静電吸着装置から被吸着体を脱離させる方法
JPS63257481A (ja) * 1987-04-14 1988-10-25 Abisare:Kk 静電保持装置
US4771358A (en) * 1987-11-09 1988-09-13 Walker Magnetics Group, Inc. Magnetic chuck controller

Also Published As

Publication number Publication date
KR900017265A (ko) 1990-11-15
EP0395340A2 (en) 1990-10-31
MY105566A (en) 1994-10-31
EP0395340B1 (en) 1994-06-15
JPH02285980A (ja) 1990-11-26
DE69009849T2 (de) 1994-09-22
CA2015383A1 (en) 1990-10-25
US5117121A (en) 1992-05-26
JP2779950B2 (ja) 1998-07-23
EP0395340A3 (en) 1991-09-11
DE69009849D1 (de) 1994-07-21

Similar Documents

Publication Publication Date Title
KR930006102B1 (ko) 정전 척의 전압인가 방법 및 그의 장치
ATE178438T1 (de) Einstellbare reaktanzvorrichtung und verfahren
CA2118619A1 (en) Wafer Release Method and Apparatus
AU3585197A (en) Methods and apparatuses for clamping and declamping a semiconductor wafer in a wafer processing system
JP2001525123A (ja) 不平衡二極静電チャック電源供給装置およびその方法
EP0350301A3 (en) Current limited dc power controller
HU182826B (en) Method and apparatus for decreasing the effect of environmental pollution of exhaust gases
EP0388616A3 (en) Overcurrent protective circuit for electrostatic self-turn-off devices
CA2187991A1 (en) Method and Apparatus for Controlling Static Electronic Components for Phase Switching in a Three-Phase Brushless Electric Motor
KR100483737B1 (ko) 기판흡착방법 및 그 장치
JPS62255039A (ja) 静電吸着装置から被吸着体を脱離させる方法
JPH0866071A (ja) 静電吸着装置
JP4399756B2 (ja) 静電チャックからの被吸着物の離脱方法および離脱装置
JP2003332412A (ja) 静電チャック装置及びその装置を用いた基板の処理方法
JP3879254B2 (ja) ウエハの離脱方法及び静電吸着電源
JPH1131737A (ja) 静電吸着ステージの印加電圧制御方法
JPH03270841A (ja) 静電チャック
JPS61270046A (ja) 静電チヤツク装置
JPS6039840A (ja) ワイヤボンダ用ボ−ル形成装置
JPH06224286A (ja) 静電吸着装置の吸着モニター装置
JP2000195934A (ja) 基板の除電方法および除電機能付きステ―ジ
JPS6310537A (ja) 半導体装置
JPH08172123A (ja) 静電吸着装置
JPS5810425A (ja) 放電加工装置の加工用パルス電流制御方式
JPH03163849A (ja) 静電チャック

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 19991228

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee