KR20180034581A - 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법 - Google Patents

실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR20180034581A
KR20180034581A KR1020187005616A KR20187005616A KR20180034581A KR 20180034581 A KR20180034581 A KR 20180034581A KR 1020187005616 A KR1020187005616 A KR 1020187005616A KR 20187005616 A KR20187005616 A KR 20187005616A KR 20180034581 A KR20180034581 A KR 20180034581A
Authority
KR
South Korea
Prior art keywords
tert
bis
plasma
butyl
cyclodisilazane
Prior art date
Application number
KR1020187005616A
Other languages
English (en)
Other versions
KR102245160B1 (ko
Inventor
신지안 레이
무성 김
만차오 시아오
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20180034581A publication Critical patent/KR20180034581A/ko
Application granted granted Critical
Publication of KR102245160B1 publication Critical patent/KR102245160B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

적어도 하나의 사이클로디실라잔 전구체를 사용하여 실리콘 니트라이드 필름을 형성시키기 위한 조성물, 실리콘 니트라이드 필름 및 방법이 본원에 기재된다. 한 가지 양태에서, 실리콘 니트라이드 필름을 형성시키는 방법으로서, 기판을 반응기에 제공하는 단계; 탄화수소 이탈 기 및 두 개의 Si-H 기를 포함하는 적어도 하나의 사이클로디실라잔을 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계; 퍼지 가스로 반응기를 퍼징시키는 단계; 질소를 포함하는 플라즈마 및 불활성 가스를 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계를 포함하는 방법이 제공된다.

Description

실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
본 출원은 2015년 7월 31일자 출원된 출원 제62/199593호의 우선권을 주장한다. 출원 제62/199593호의 개시 내용은 본원에 참조로 포함된다.
발명의 분야
사이클로디실라잔 전구체를 사용하여 컨포멀(conformal)한 화학량론적 또는 비-화학량론적 실리콘 니트라이드 필름을 증착시키기 위한 방법 및 조성물이 본원에 기재된다. 보다 구체적으로, 사이클로디실라잔 전구체를 사용하는 증착 공정, 예컨대, 제한 없이, 플라즈마 강화 원자 층 증착(plasma enhanced atomic layer deposition: "PEALD"), 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition: "PECCVD"), 및 실리콘 니트라이드 필름을 증착시키는데 사용되는 이를 포함하는 조성물이 본원에 기재된다.
저압 화학적 기상 증착(low pressure chemical vapor deposition: LPCVD) 공정은 실리콘 니트라이드 필름의 증착을 위해 반도체 산업에 의해 이용되는 더욱 광범위하게 허용되는 방법들 중 하나이다. 암모니아를 이용한 저압 화학적 기상 증착(LPCVD)은 합리적인 성장률 및 균일성을 얻기 위해 650℃가 넘는 증착 온도를 필요로 할 수 있다. 개선된 필름 특성을 제공하기 위해 통상적으로 더 높은 증착 온도가 이용된다. 실리콘 니트라이드를 성장시키기 위한 더욱 일반적인 산업 방법들 중 하나는 전구체로서 실란, 디클로로실란, 및/또는 암모니아를 이용하여 750℃를 초과하는 온도의 고온 벽 반응기에서 저압 화학적 기상 증착을 이용하는 것이다. 그러나, 이러한 방법을 이용하는 경우 여러 단점이 존재한다. 예를 들어, 특정 전구체, 예컨대, 실란은 자연발화성이다. 이는 조작 및 용법에 문제를 야기할 수 있다. 또한, 디클로로실란으로부터 증착된 필름은 증착 공정 동안 부산물로서 형성되는 염소 및 암모늄 클로라이드와 같은 특정 불순물을 함유할 수 있다.
실리콘 니트라이드 필름, 예컨대, 비스(3차-부틸) 실란(BTBAS) 및 클로로실란을 증착시키는데 이용되는 전구체는 일반적으로 550℃ 초과의 온도에서 필름을 증착시킨다. 그러나, 반도체 디바이스의 소형화 및 낮은 열 예산의 경향은 400℃ 미만의 공정 온도 및 더욱 높은 증착률을 요구한다. 실리콘 필름이 증착되는 온도는, 특히 금속화 층을 포함하는 그러한 기판에 대해 그리고 다수의 III-V족 및 II-VI족 디바이스 상에서, 격자에서의 이온 확산을 방지하기 위해 감소되어야 한다.
미국 공보 제2013/183835호("'835 공보")에는 기판 상의 낮은 온도에서 컨포멀한 실리콘 니트라이드 필름을 형성하기 위한 방법 및 장치가 기재되어 있다. 실리콘 니트라이드 층을 형성하는 방법은 공정 가스 혼합물을 그 안에 기판을 지닌 공정 챔버로 유동시키고(여기서, 공정 가스 혼합물은 불안정한 규소 대 질소 결합, 규소 대 탄소 결합, 또는 질소 대 탄소 결합을 지니는 전구체 가스 분자를 포함한다), 불안정한 결합을 우선적으로 파괴함으로써 전구체 가스를 약 20℃ 내지 약 480℃의 온도에서 활성화시켜 전구체 가스 분자를 따라 하나 이상의 반응 부위를 제공하고, 전구체 물질 층을 기판 상에 형성하고(여기서, 활성화된 전구체 가스 분자는 하나 이상의 반응 부위에서 기판 상의 표면에 결합한다), 전구체 물질 층 상에서 플라즈마 처리 공정을 수행하여 컨포멀한 실리콘 니트라이드 층을 형성함을 포함하는 증착 사이클을 수행하는 것을 포함한다.
미국 공보 제2009/075490호("'490 공보")에는 실리콘 웨이퍼를 반응 챔버에 도입하고; 실리콘 니트라이드 화합물을 반응 챔버에 도입하고; 반응 챔버를 불활성 가스로 퍼징시키고; 가스 형태의 질소-함유 공-반응물을 실리콘 웨이퍼 상에서 실리콘 니트라이드 필름의 단분자 층을 형성하기에 적합한 조건하에 반응 챔버에 도입함을 포함하는 실리콘 니트라이드 필름을 제조하는 방법이 기재되어 있다.
미국 공보 제2009/155606호("'606 공보")에는 기판 상에 실리콘 니트라이드 필름을 증착시키는 주기적 방법이 기재되어 있다. 한 가지 구체예에서, 방법은 클로로실란을 기판이 가공되는 반응기에 공급하고; 퍼지 가스를 반응기에 공급하고; 암모니아 플라즈마를 반응기에 제공함을 포함한다.
미국 특허 제6,391,803호("'803 특허")에는 Si를 함유하는 고형 박막 층을 형성하는 원자 층 증착 방법이 기재되어 있다.
미국 특허 제6,528,430호("'430 특허")에는 Si2Cl6 및 NH3, 또는 Si2Cl6 및 활성화된 NH3를 반응물로서 사용하는 실리콘 니트라이드 박막을 형성하기 위한 ALD 방법이 기재되어 있다.
미국 공보 제2010/0081293호("'293 공보")에는 규소 전구체 및 라디칼 질소 전구체를 증착 챔버에 도입함을 포함하는 실리콘 니트라이드를 증착시키기 위한 방법이 기재되어 있다. 규소 전구체는 N-Si-H 결합, N-Si-Si 결합 및/또는 Si-Si-H 결합을 지닌다. 라디칼 질소 전구체는 포함되는 산소를 실질적으로 함유하지 않는다. 라디칼 질소 전구체는 증착 챔버의 외부에서 발생한다. 규소 전구체 및 라디칼 질소 전구체는 상호작용하여 실리콘 니트라이드 기반 유전체 층을 형성한다. '293 공보에는 추가로 Ne, Ar, Kr, 및/또는 Xe로부터 선택되는 출발 물질로부터 증착 챔버의 외부에서 발생될 수 있는 라디칼 불활성 가스 전구체의 사용이 교시되어 있다.
미국 공보 제2012/196048호("'048 공보")에는 전구체를 기판 상에 흡착시키는 공정 및 반응물 가스 및 플라즈마를 이용하여 흡착된 표면을 처리하는 공정을 각각 여러 번 교대시킴으로써 박막을 형성하는 방법이 기재되어 있고, 여기서 반응물 가스는 기판 위에 실질적으로 균일하게 공급되고, 플라즈마는 펄스-시간-조절되어 반응물 가스를 공급하는 공정에 적용된다.
WO 공보 제2015105350호에는 다양한 증착 방법에 의해 탁월한 물리적 및 전기적 특성을 지니는 고순도 규소-함유 박막을 형성시키기 위한 신규한 사이클로디실라잔 유도체가 제공되어 있다.
Klaus 등에 의한 "Atomic layer controlled growth of Si3N4 films using sequential surface reactions."라는 명칭의 참조문헌[Surface Science 418: L14-L19 (1998)]에는 순차적인 표면 화학 반응을 이용하여 Si(100) 기판 상에서 원자 층 조절되는 Si3N4 박막을 증착시키기 위한 방법이 기재되어 있다. Si3N4 필름 성장은 이원 반응 3SiCl4+4NH3→Si3N4+12HCl을 2개의 반쪽-반응으로 분리시킴에 의해 달성되었다. ABAB... 순서로 SiC4 및 NH3 반-반응의 연속 적용은 500 내지 900°K의 기판 온도 및 1-10 Torr의 SiCl4 및 NH3 반응물 압력에서 Si3N4 증착을 야기하였다.
Knoops 등에 의한 "Plasma-assisted ALD of Silicon Nitride from BTBAS: Influence of Plasma Exposure and Substrate Temperature"라는 명칭의 참조문헌[12th International Conference on Atomic Layer Deposition. San Diego, CA.](ALD2013)에는 N2 플라즈마와 함께 BTBAS (비스-아미노실란)를 이용한 Si 니트라이드의 증착이 교시되어 있다. 증착된 필름은 약 5%의 O2 및 약 5%의 탄소를 지닌다.
앞서 기재된 특허, 특허 출원 및 공보의 개시 내용은 본원에 참조로 포함된다.
따라서, 컨포멀한 고품질의 실리콘 니트라이드 필름을 증착시키기 위한 저온(예를 들어, 400℃ 또는 그 미만의 가공 온도) 방법으로서, 필름이 다른 증착 방법 또는 전구체를 이용한 다른 실리콘 니트라이드 필름과 비교되는 다음 특징들 중 하나 이상을 지니는 방법을 제공하는 것이 당해 기술 분야에서 요구된다: 2.2 그램/입방 센티미터 (g/cc) 또는 그 초과의 밀도, 낮은 습식 에칭률(희석 불화수소산(HF)에서 측정하는 경우), 및 이들의 조합.
기판의 적어도 일부 상에 탄소, 산소, 또는 이 둘 모두를 추가로 포함할 수 있는, 화학량론적 또는 비-화학량론적 실리콘 니트라이드 필름을 형성시키기 위한 방법이 본원에 기재된다. 한 가지 양태에서, 본원에 기재된 오가노아미노실란 전구체는 탄화수소 이탈 기 및 적어도 두 개의 Si-H 기를 지니고, 하기 화학식 I으로 표현되는 적어도 하나의 사이클로디실라잔을 포함한다:
Figure pct00001
상기 식에서, R은 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 각각 독립적으로 수소 원자, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기, C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다. 화학식 I의 특정 구체예에서, R1-4는 모두 수소이다. 다른 구체예에서, R1 및 R3는 수소이다.
또 다른 양태에서, (a) 적어도 두 개의 Si-H 기 및 탄화수소 이탈 기를 포함하고, 하기 화학식 I으로 표현되는 적어도 하나의 사이클로디실라잔; 및 (b) 용매를 포함하는 조성물이 제공된다:
Figure pct00002
상기 식에서, R은 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 각각 독립적으로 수소 원자, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기, C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다. 화학식 I의 특정 구체예에서, R1-4는 모두 수소이다. 다른 구체예에서, R1 및 R3는 수소이다. 본원에 기재된 조성물의 특정 구체예에서, 용매는 에테르, 삼차 아민, 알킬 탄화수소, 방향족 탄화수소, 삼차 아미노에테르, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나이다. 특정 구체예에서, 사이클로디실라잔의 비점과 용매의 비점 간의 사이는 40℃ 이하이다.
한 가지 양태에서, 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 이탈 기 및 적어도 두 개의 Si-H 기를 포함하고, 하기 화학식 I으로 표현되는 사이클로디실라잔 전구체를 반응기에 도입하는 단계:
c. 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고;
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법이 제공된다:
Figure pct00003
상기 식에서, R은 각각 독립적으로 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 각각 독립적으로 수소 원자, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기, C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다.
또 다른 양태에서, 플라즈마 강화 원자 층 증착 공정 또는 플라즈마 강화 ALD-유사 공정으로부터 선택되는 증착 공정을 이용하여 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법이 제공된다.
추가의 양태에서, 본 발명은 기판의 적어도 하나의 표면 상에 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법에 관한 것이다.
본 발명의 또 다른 양태는 기판의 적어도 하나의 표면 상에 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법에 관한 것이다.
본 발명의 추가의 양태는 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔으로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 포함하는 규소-함유 필름의 기상 증착을 위한 조성물에 관한 것이다.
본 발명의 또 다른 양태는 규소-함유 필름의 증착을 위해 사이클로디실라잔 전구체를 전달하는데 사용되는 용기(vessel)로서, 용기가
1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔으로 이루어진 군으로부터 선택된 사이클로디실라잔 전구체로서, 전구체의 순도가 약 98% 이상인 사이클로디실라잔 전구체; 및
헬륨, 아르곤, 질소 및 이들의 조합물로 이루어진 군으로부터 선택된 불활성 가스를 포함하는 콘테이너(container)의 헤드스페이스를 포함하는 용기에 관한 것이다.
본 발명의 추가의 양태는 임의의 상기 방법에 의해 또는 임의의 상기 조성물로부터 생산되는 규소 함유 필름으로서, 실리콘 니트라이드 필름이 2.2g/cc 이상의 밀도를 지니는 규소 함유 필름에 관한 것이다.
본 발명의 양태는 단독으로 또는 서로와의 다양한 조합으로 이용될 수 있다.
도 1은 사이클로디실라잔 전구체 및 실시예 6에 기재된 방법에 대한 Å로 측정되는 증착된 실리콘 니트라이드 필름의 두께와 규소 전구체 펄스 시간(초로 측정됨) 사이의 관계를 제공한 것이다.
도 2는 실시예 6에 기재된 300℃에서의 사이클로디실라잔 전구체 및 질소 플라즈마를 사용한 사이클 횟수에 대한 Å로 측정된 실리콘 니트라이드 필름 두께를 제공한 것이다.
고품질 필름으로 여겨지기 위해서 하나 이상의 기준을 충족시키는 저온, 예를 들어, 400℃ 이하의 온도에서의 컨포멀한 화학량론적 및 비-화학량론적 실리콘 니트라이드 필름의 증착은 오랫동안 산업적 과제였다. 고급 패턴화 또는 스페이서와 같은 반도체 분야의 여러 적용에는 고품질 필름이 요구된다. 실리콘 니트라이드 필름은 다른 실리콘 니트라이드 필름과 비교되는 다음 특징들 중 하나 이상을 지니는 경우에 "고품질" 필름으로 여겨진다: 2.2 그램/입방 센티미터(g/cc) 이상(예를 들어, 약 2.2 내지 약 3.0 g/cc, 약 2.4 내지 약 3.0/cc, 및 일부 경우에 약 2.5 내지 약 2.8 g/cc)의 밀도, 이하에서 보다 상세하게 기재된 방법에 따른 낮은 습식 에칭률(희석 불산에서 측정하는 경우(DI 수 중 0.5wt% HF)), 및 이들의 조합. 이러한 또는 다른 구체예에서, 실리콘 니트라이드 필름에 대한 굴절률은, 엘립소미터(ellipsometer)에 의해 측정하는 경우, 1.9 또는 그 초과(예를 들어, 약 1.9 내지 약 2.4, 약 2.0 내지 약 2.4, 및 일부 경우에 약 2.0 내지 약 2.2)여야 한다.
한 가지 양태에서, (a) 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 화학식 I을 지니는 적어도 하나의 사이클로디실라잔 전구체; 및 (b) 적어도 하나의 용매를 포함하는 규소-함유 필름을 증착시키기 위한 조성물이 본원에 기재된다. 본원에 기재된 조성물의 특정 구체예에서, 예시적인 용매는, 제한 없이, 에테르, 삼차 아민, 알킬 탄화수소, 방향족 탄화수소, 삼차 아미노에테르, 및 이들의 조합물을 포함할 수 있다. 특정 구체예에서, 오가노아미노디실란의 비점과 용매의 비점 간의 차이는 40℃ 이하이다. 용매 중의 규소 전구체 화합물의 wt %는 1 내지 99 wt %, 또는 10 내지 90 wt%, 또는 20 내지 80 wt %, 또는 30 내지 70 wt %, 또는 40 내지 60 wt %, 또는 50 내지 50 wt %로 다양할 수 있다. 일부 구체예에서, 조성물은 규소-함유 필름을 위한 반응 챔버에 직접적인 액체 주입을 통해 전달될 수 있다.
한 가지 구체예에서, 저온, 또는 약 25℃ 내지 약 400℃ 범위의 하나 이상의 증착 온도에서 질소 및 임의로 희가스를 포함하는 플라즈마 공정으로 본원에 기재된 화학식 I을 지니는 사이클로디실라잔 전구체를 사용하는 원자 층 증착 (ALD) 또는 ALD-유사 방법이 본원에 기재된다.
기판의 적어도 일부 상에 규소 및 질소를 포함하는 화학량론적 또는 비-화학량론적 실리콘 니트라이드 필름을 형성시키기 위한 방법이 본원에 기재된다. 특정 구체예에서, 실리콘 니트라이드 필름은 추가로 탄소 또는 알루미늄, 예컨대, 실리콘 카보니트라이드, 실리콘 알루미늄 니트라이드 필름을 포함한다. 특정 구체예에서, 실리콘 니트라이드 필름은 추가로 산소, 예컨대, 실리콘 옥시니트라이드 필름을 포함한다. 이러한 또는 다른 구체예에서, 실리콘 니트라이드 필름은 XPS에 의해 측정되는 0.1 내지 30 at.% 범위의 산소 함량 및 0.1 내지 40 at.% 범위의 탄소 함량을 지니는 산소 및 탄소, 예컨대, 실리콘 카보옥시니트라이드 필름을 포함한다.
본원에 기재된 실리콘 니트라이드 필름은 이탈 기 및 적어도 두 개의 Si-H 기를 포함하고, 하기 화학식 I으로 표현되는 적어도 하나의 사이클로디실라잔을 사용하여 증착된다:
Figure pct00004
상기 식에서, R은 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 각각 독립적으로 수소 원자, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기, C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다. 화학식 I의 특정 구체예에서, 치환체 R1-4는 모두 수소이다. 다른 구체예에서, R1 및 R3는 수소이다. 화학식 I의 추가의 다른 구체예에서, 치환체 R1-4 중 적어도 하나 또는 이들 모두는 할라이드 원자(예를 들어, F, Cl, Br, I), 수소 원자, 메틸 기, 또는 이들의 조합물로부터 선택된다.
화학식 I을 지니는 예시적인 사이클로디실라잔 전구체는 표 1에 나타나 있는 하기 전구체들을 포함하지만, 이로 제한되지 않는다:
표 1. 화학식 I을 지니는 전구체
Figure pct00005
Figure pct00006
Figure pct00007
본원에 기재된 화학식 I을 지니는 사이클로디실라잔 전구체는 반도체 장치 제작 공정에서 이들을 PEALD 또는 PECCVD 전구체로서 이상적으로 적합하게 만드는 반응성과 안정성의 균형을 나타낸다. 반응성과 관련하여, 특정 전구체는 증발되고 반응기로 전달되어 기판 상에 필름으로서 증착되기에는 너무 높은 비점(예를 들어, 약 200℃ 초과)을 지닐 수 있다. 더 높은 상대 비점을 지니는 전구체에는 콘테이너, 라인 또는 이 둘 모두에서 응축 또는 입자가 형성되는 것을 방지하기 위해 주어진 진공하에 전구체의 비점에서 또는 이를 초과하는 온도에서 전달 콘테이너 및 라인이 가열되어야 함이 요구된다. 중요하게는, 화학식 I을 지니는 사이클로디실라잔 전구체는 종래 기술에 개시된 것들보다 우수한 이탈 기를 갖고(즉, 3차-부틸 대 이소-프로필), 그에 따라서 더 낮은 탄소 함량(예를 들어, 약 5 at% 미만, 바람직하게는 1 at.% 미만, 가장 바람직하게는 0.1 at% 미만)을 지니는 실리콘 니트라이드의 증착을 가능하게 하는 것으로 여겨진다. 안정성과 관련하여, 그 밖의 전구체는 이들이 분해됨에 따라서 실란 (SiH4) 또는 디실란 (Si2H6)을 형성할 수 있다. 실란은 실온에서 자연발화성이거나 자연 연소될 수 있는데, 이는 안전성 및 취급의 문제를 제기한다. 더욱이, 실란 또는 디실란 및 다른 부산물의 형성은 전구체의 순도 수준을 감소시키며, 화학 순도에서 1-2%와 같은 적은 변화도 신뢰할만한 반도체 제작에 있어서는 허용되지 않는 것으로 여겨질 수 있다. 특정 구체예에서, 본원에 기재된 화학식 I을 지니는 사이클로디실라잔 전구체는 2 중량% 이하, 또는 1 중량% 이하, 또는 0.5 중량% 이하의 부산물을 포함하는데(6개월 이상, 또는 1년 이상의 기간 동안 저장된 후), 이는 저장 안정성을 나타낸다. 특정 구체예에서, 본원에 기재된 화학식 I을 지니는 사이클로디실라잔 전구체는 100 ppm 이하의 할라이드 불순물, 예컨대, 클로라이드, 또는 50 ppm 이하의 할라이드 불순물, 또는 10 ppm 이하의 할라이드 불순물을 포함한다. 상기 이점에 더하여, 특정 구체예에서, 예컨대, PEALD, 또는 PECCVD 증착 방법을 이용하는 실리콘 니트라이드 필름을 증착시키는데 있어서, 본원에 기재된 사이클로디실라잔 전구체는 하나 이상의 증착 온도, 예를 들어, 400℃ 이하, 350℃ 이하, 300℃ 이하, 또는 250℃ 이하, 200℃ 이하, 150℃ 이하, 100℃ 이하, 또는 50℃ 이하에서 고밀도 물질을 증착시킬 수 있다.
설명 전반에 걸쳐서, 용어 "알킬 탄화수소"는 선형 또는 분지형 C6 내지 C20 탄화수소, 환형 C6 내지 C20 탄화수소를 지칭한다. 예시적인 탄화수소는 헥산, 헵탄, 옥탄, 노난, 데칸, 도데칸, 사이클로옥탄, 사이클로노난, 사이클로데칸을 포함하지만, 이로 제한되지 않는다.
설명 전반에 걸쳐서, 용어 "방향족 탄화수소"는 C6 내지 C20 방향족 탄화수소를 지칭한다. 예시적인 방향족 탄화수소는 톨루엔, 메시틸렌을 포함하지만, 이로 제한되지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "사이클릭 알킬"은 3 내지 10개 또는 4 내지 10개의 탄소 원자 또는 5 내지 10개의 탄소 원자를 지니는 사이클릭 작용기를 의미한다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "아릴"은 5 내지 12개의 탄소 원자 또는 6 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용 기를 의미한다. 예시적인 아릴기는 페닐, 벤질, 클로로벤질, 톨릴 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "알킬"은 1 내지 10, 또는 1 내지 4개의 탄소 원자를 갖는 선형, 또는 분지형 작용기를 의미한다. 예시적인 알킬 기는 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, 2차-부틸, 3차-부틸, n-펜틸, 이소-펜틸, 3차-펜틸, 헥실, 이소펙실, 및 네오헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 이에 부착된 하나 이상의 작용 기, 예컨대, 이로 제한되지는 않지만, 알콕시 기, 디알킬아미노 기 또는 이의 조합을 지닐 수 있다. 다른 구체예에서, 알킬 기는 이에 부착된 하나 이상의 작용 기를 지니지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니고, 2 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 지니는 기를 의미한다. 예시적인 알케닐 기는 비닐 (CH2=CH-) 또는 알릴을 포함하지만, 이로 제한되지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니고, 2 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 지니는 기를 의미한다. 예시적인 알키닐 기는 에티닐(아세틸레닐)을 포함하지만, 이로 제한되지 않는다.
화학식 I에서 그리고 설명 전반에 걸쳐서, 용어 "디알킬아미노 기"는 질소 원자에 부착되는 2개의 알킬 기를 지니고, 1 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 지니는 기를 의미한다. 예시적인 아릴 기는 디메틸아미노, 디에틸아미노, 및 에닐메틸아미노를 포함하지만, 이로 제한되지 않는다.
본원에서 사용되는 용어 "우수한 탄화수소 이탈 기" 또는 "탄화수소 이탈 기"는 증착 공정 동안 용이하게 파괴되어 안정한 탄화수소 라디칼을 형성시킬 수 있고, 그에 따라서 더 낮은 탄소 함량(예를 들어, 약 1 at% 이하의 탄소 함량)을 지니는 실리콘 니트라이드 필름을 생성시키는 질소에 결합되는 탄화수소 기를 설명한다. 탄화수소 라디칼의 안정성은 비닐 라디칼 > 벤질 라디칼 > 3차-부틸 라디칼 > 이소-프로필 라디칼 > 메틸 라디칼이다. 우수한 탄화수소 이탈 기 또는 치환체의 예는 둘 모두 이소-프로필보다 우수한 이탈 기인 3차-부틸 또는 3차-아밀 기를 포함하지만, 이로 제한되지 않는다. 화학식 I의 특정 구체예에서, R은 3차-부틸 또는 3차-아밀 기로부터 선택된다.
설명 전반에 걸쳐서, 본원에서 사용되는 용어 "오가노아민"은 적어도 하나의 질소 원자를 지니는 유기 화합물을 설명한다. 오가노아민의 예는 메틸아민, 에틸아민, 프로필아민, 이소-프로필아민, 3차-부틸아민, 2차-부틸아민, 3차-아밀아민, 에틸렌디아민, 디메틸아민, 트리메틸아민, 디에틸아민, 트리에틸아민을 포함하지만, 이로 제한되지 않는다.
본원에서 사용되는 용어 "전자 끄는 기"는 Si-N 결합으로터 전자를 멀리 끌어당기는 작용을 하는 원자 또는 이의 기를 설명한다. 적합한 전자 끄는 기 또는 치환체의 예는 니트릴 (CN)을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 전자 끄는 치환체는 임의의 하나의 화학식 I에서 N에 인접하거나 근접할 수 있다. 전자 끄는 기의 추가의 비제한적 예는 F, Cl, Br, I, CN, NO2, RSO, 및/또는 RSO2을 포함하며, 여기서, R은 C1 내지 C10 알킬기, 예컨대, 이로 제한되지는 않지만, 메틸 기 또는 또 다른 기일 수 있다.
설명 전반에 걸쳐서, 본원에서 사용되는 용어 "실리콘 니트라이드"는 화학량론적 또는 비-화학량론적 실리콘 니트라이드, 실리콘 카보니트라이드, 실리콘 카복시니트라이드, 실리콘 알루미늄 니트라이드 및 이들의 임의의 혼합물로 이루어진 군으로부터 선택된 규소 및 질소를 포함하는 필름을 지칭한다. 실리콘 알루미늄 니트라이드의 경우, 알루미늄 함량은 0.1 내지 20 at%의 범위일 수 있다.
특정 구체예에서, 화학식 I에서 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 디알킬아미노 기, 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 치환되거나, 예를 들어 수소 원자 대신에 치환된 하나 이상의 원자들 또는 원자들의 그룹을 지닐 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자(예를 들어, F, Cl, I, 또는 Br), 질소, 및 인을 포함하지만, 이로 제한되지 않는다. 예시적인 치환된 치환체는 선형 또는 분지형 C1 내지 C6 불화된 알킬 기를 포함하지만, 이로 제한되지 않는다. 한 가지 특정 구체예에서, R1 내지 R4 중 적어도 하나는 선형 또는 분지형 C1 내지 C6 불화된 알킬 기이다. 다른 구체예에서, 화학식 I에서의 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 디알킬아미노 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 비치환된다.
실리콘 니트라이드 필름 또는 코팅을 형성시키는데 이용되는 방법은 증착 공정이다. 본원에 개시된 방법에 적합한 증착 공정의 예는 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 사이클릭 CVD (PECCVD) 공정을 포함하지만, 이로 제한되지 않는다. 본원에 사용되는 용어 "화학적 기상 증착 공정"은, 기판이 하나 이상의 휘발성 전구체에 노출되고, 이러한 휘발성 전구체가 기판 표면 상에서 반응하고/거나 분해되어 요망되는 증착을 생성시키는 임의의 공정을 지칭한다. 본원에 사용되는 용어 "원자 층 증착 공정"은 다양한 조성의 기판 상에 물질의 필름을 증착시키는 자기-제한적인(예를 들어, 각 반응 사이클에서 증착된 막 물질의 양이 일정함) 순차적 계면 화학을 지칭한다. 본원에서 사용되는 전구체, 시약 및 공급원이 가끔 "가스성"으로 기재될 수 있지만, 전구체는 직접 증발, 버블링 또는 승화를 통해 불활성 가스와 또는 불활성 가스 없이 반응기로 수송되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우에, 증발된 전구체는 플라즈마 발생기를 통해 통과할 수 있다. 한 가지 구체예에서, 실리콘 니트라이드 필름은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 실리콘 니트라이드 필름은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 실리콘 니트라이드 필름은 열 CVD 공정을 이용하여 증착된다. 본원에 사용되는 용어 "반응기"는, 제한 없이, 반응 챔버 또는 증착 챔버를 포함한다. ALD-유사 공정은 다음 중 적어도 하나를 지님으로써 나타나 있는 바와 같은 기판 상에 실리콘 니트라이드 또는 실리콘 카보니트라이드와 같은 높은 컨포멀한 실리콘 니트라이드 필름을 제공하는 사이클릭 CVD 공정으로서 본원에서 정의된다: 엘립소미터에 의해 측정하는 경우, 약 10% 이하(예를 들어, 약 1 내지 약 10%, 약 1 내지 약 5%, 및 일부 경우에 약 1 내지 약 3%)의 불-균일도(non-uniformity)의 백분율, 사이클당 1Å 이상(예를 들어, 사이클 당 약 1 내지 약 4 Å, 사이클 당 약 1 내지 약 3 Å, 및 일부 경우에 사이클 당 약 1 내지 약 2 Å)의 증착률, 또는 이들의 조합.
특정 구체예에서, 본원에 개시된 방법은 반응기로 도입되기 전 및/또는 동안에 전구체를 분리하는 PEALD 또는 PECCVD 방법을 이용함으로써 전구체의 사전-반응 회피한다. 이와 관련하여, PEALD 또는 PECCVD 공정과 같은 증착 기법이 실리콘 니트라이드 필름을 증착시키는데 이용된다. 한 가지 구체예에서, 필름은 기판 표면을 하나 이상의 실리콘 니트라이드 전구체, 질소-함유 공급원 또는 그 밖의 전구체 또는 시약에 교대로 노출시킴으로써 PEALD 공정을 통해 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도의 자기-제한 제어에 의해 진행된다. 그러나, 기판의 표면이 일단 포화되면, 필름 성장이 중단된다.
특정 구체예에서, 본원에 기재된 방법은 화학식 I을 지니는 사이클로디실라잔 전구체 이외의 하나 이상의 추가의 규소 전구체를 추가로 포함한다. 추가의 실리콘 니트라이드 전구체의 예는 모노클로로실란, 디클로로실란, 헥사클로로디실란, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 비스(3차부틸아미노)실란, 비스(디에틸아미노)실란, 트리스(디메틸아미노)실란을 포함하지만, 이로 제한되지 않는다.
증착 방법에 좌우하여, 특정 구체예에서, 적어도 하나의 사이클로디실라잔 전구체는 소정의 몰부피로 또는 약 0.1 내지 약 1000 마이크로몰로 반응기내로 도입될 수 있다. 이러한 또는 다른 구체예에서, 적어도 하나의 사이클로디실라잔 전구체는 소정의 기간 동안 반응기 내로 도입될 수 있다. 특정 구체예에서, 기간은 약 0.001 내지 약 500초의 범위이다.
특정 구체예에서, 실리콘 니트라이드 필름은 규소 및 질소를 포함한다. 이러한 구체예에서, 본원에 기재된 방법을 이용하여 증착된 실리콘 니트라이드 필름은 질소-함유 공급원의 존재하에 형성된다. 질소-함유 공급원은 적어도 하나의 질소-함유 공급원의 형태로 반응기 내로 도입될 수 있고/거나 증착 공정에 사용된 다른 전구체들 중에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는, 예를 들어, 질소/아르곤 플라즈마를 포함할 수 있다. 특정 구체예에서, 질소-함유 공급원은 질소/아르곤 플라즈마 공급원 가스를 포함하며, 이는 약 1 내지 약 2000 표준 입방 센티미터 (sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내로 도입된다. 질소-함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초보다 긴 펄스 지속 시간을 지닐 수 있고, 질소-함유 공급원은 0.01 초보다 짧은 펄스 지속 시간을 지닐 수 있는 반면, 물 펄스 지속 시간은 0.01 초보다 짧은 펄스 지속 시간을 지닐 수 있다. 추가의 또 다른 구체예에서, 펄스 사이의 퍼지 지속 시간은 0초 만큼 짧을 수 있거나 중간에 퍼지 없이 연속적으로 펄싱된다.
본원에 기재된 방법에서, 질소-함유 가스, 예컨대, 제한 없이, 질소 및 임의로 희가스, 바람직하게는 질소의 원자 질량(즉, 28 amu)보다 큰 원자 질량을 지니는 희가스를 포함하는 질소-함유 플라즈마는 동일 반응계로(in situ) 또는 원격으로 발생될 수 있다. 질소의 원자 질량보다 큰 원자 질량을 지니는 희가스의 존재는 더 많은 원자 질소 라디칼을 형성시키는 것으로 사료된다. 질소 플라즈마 공급원 가스는 약 1 내지 약 2000 제곱 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 이상의 범위의 유량으로 반응기에 도입된다. 질소 함유 플라즈마는 약 0.01 내지 약 100초 이상 범위의 시간 동안 도입될 수 있다. 구체예에서, 전구체 펄스는 0.01초를 초과하는 펄스 지속 시간을 지닐 수 있고, 질소-함유 플라즈마는 0.01초 미만의 펄스 지속 시간을 지닐 수 있는 반면, 물 펄스 지속 시간은 0.01초 미만의 펄스 지속 시간을 지닐 수 있다. 추가의 또 다른 구체예에서, 전구체 펄스와 질소 플라즈마 사이의 퍼지 지속 시간은 0초만큼 적을 수 있다. 추가의 또 다른 구체예에서, 수소 플라즈마는 수소 플라즈마가 이용될 수 있는 경우에 희가스와 혼합된 순수한 수소(H2)를 이용하여 동일반응계로 또는 원격으로 발생될 수 있다. 질소와 희가스 둘 모두를 함유하는 플라즈마 내의 희가스의 중량 백분율은 1wt% 내지 99%로 다양할 수 있는 반면, 수소와 희가스 둘 모두를 함유하는 플라즈마 내의 희가스의 중량 백분율은 또한 1wt% 내지 99%로 다양할 수 있다.
본원에 기재된 증착 방법은 하나 이상의 퍼지 가스를 수반할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징시키는데 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온(Ne), 수소(H2), 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 퍼지 가스로서 사용되는 불활성 가스는 희가스를 포함한다. 본원에서 사용되는 용어 "희가스"는 주기율표의 18족에서 발견되는 그러한 가스를 의미하고, 이는 헬륨(He), 네온(Ne), 아르곤(Ar), 제논(Xe), 크립톤(Kr), 및 이들의 혼합물을 포함한다. 한 가지 특정 구체예에서, 퍼지 가스로 사용되는 희가스는 아르곤을 포함한다. 이러한 또는 다른 구체예에서, Ar을 포함하는 퍼지 가스는 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기로 공급됨으로써, 반응기에 남아있을 수 있는 미반응된 전구체 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 질소-함유 공급원, 및/또는 다른 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 생성되는 실리콘 니트라이드 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 변화시킴으로써 수행될 수 있다.
에너지는 반응을 유도하고, 기판 상에 실리콘 니트라이드 필름 또는 코팅을 형성시키기 위해 전구체, 질소-함유 공급원, 환원제, 다른 전구체 또는 이들의 조합물 중 적어도 하나에 적용된다. 이러한 에너지는, 이로 제한되지는 않지만, 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있다. 특정 구체예에서, 이차 RF 주파수 공급원이 기판 표면에서 플라즈마 특징을 변형시키기 위해 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접적으로 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 발생되고 반응기로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
사이클로디실라잔 전구체는 버블링(bubbling), 베이퍼 드로우(vapor draw), 또는 직접 액체 주입(direct liquid injection: DLI)과 같은 다양한 방식으로 단일 웨이퍼 또는 배치로 PEALD 또는 PECCVD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구체예에서, 저 휘발성 물질이 용적 측정으로 전달되는 것을 가능케 하여, 전구체의 열 분해 없이 재현가능한 수송 및 증착을 발생시키기 위해 조합된 액체 전달 및 플래시 증발 공정 유닛, 예를 들어, MSP Corporation(Shoreview, MN)에 의해 제작된 터보 증발기(turbo vaporizer)가 이용될 수 있다. 액체 전달 포뮬레이션(formulation)에서, 본원에 기재된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로 용매 포뮬레이션 또는 이를 포함하는 조성물로 이용될 수 있다. 따라서, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위해 제공된 최종 용도 적용에서 요망되고 이로울 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다. 예시적인 용매는, 제한 없이, 에테르, 삼차 아민, 알킬 탄화수소, 방향족 탄화수소, 삼차 아미노에테르, 및 이들의 조합물을 포함할 수 있다. 특정 구체예에서, 오가노아미노디실란의 비점과 용매의 비점 간의 차이는 40℃ 이하이다. 용매 중의 규소 전구체 화합물의 wt %는 1 내지 99 wt%, 또는 10 내지 90 wt%, 또는 20 내지 80 wt%, 또는 30 내지 70 wt%, 또는 40 내지 60 wt%, 또는 50 내지 50 wt%로 다양할 수 있다. 일부 구체예에서, 조성물은 규소-함유 필름을 위해 반응 챔버에 직접 액체 주입을 통해 전달될 수 있다.
특정 구체예에서, 전구체 캐니스터(precursor canister)로부터 반응 챔버까지 연결하는 가스 라인은 공정 요건에 좌우하여 하나 이상의 온도로 가열되고, 본원에 기재된 화학식 I을 지니는 사이클로디실라잔 전구체의 콘테이너는 버블링을 위해 하나 이상의 온도에서 유지된다. 다른 구체예에서, 본원에 기재된 화학식을 지니는 적어도 하나의 실리콘 니트라이드 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도에서 유지된 기화기로 주입된다.
아르곤, 희가스, 및/또는 다른 불활성 가스의 유동은 전구체 펄싱 동안 반응 챔버로 적어도 하나의 사이클로디실라잔 전구체의 증기를 전달하는 것을 돕기 위한 담체 가스로 이용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 2 Torr 이하이다. 다른 구체예에서, 반응 챔버 공정 압력은 약 10 Torr 이하이다.
통상적인 PEALD 또는 PECCVD 또는 PEALD-유사 공정에서, 기판, 예컨대, 제한 없이, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 가요성 기판, 또는 금속 니트라이드 기판이 먼저 사이클로디실라잔이 기판의 표면 상으로 화학적으로 흡착되는 것을 가능케 하기 위해 실리콘 니트라이드 전구체에 노출되는 반응 챔버 내에서의 히터 스테이지에서 가열된다. 퍼지 가스, 예컨대, 질소, 아르곤, 또는 다른 불활성 가스는 공정 챔버로부터 흡수되지 않은 과량의 사이클로디실라잔을 퍼징시킨다. 충분한 퍼징 후, 질소-함유 공급원은 흡수된 표면과 반응시키기 위해 반응 챔버에 도입될 수 있고, 이후 챔버로부터 반응 부산물을 제거하기 위해 또 다른 가스 퍼지가 도입될 수 있다. 공정 사이클은 요망되는 필름 두께를 달성하기 위해 반복될 수 있다. 다른 구체예에서, 진공 하에서의 펌핑이 공정 챔버로부터 흡수되지 않은 과량의 사이클로디실라잔을 제거하기 위해 사용될 수 있고, 펌핑 하에서의 충분한 배기 후, 질소-함유 공급원이 흡수된 표면과 반응시키기 위해 반응 챔버로 도입될 수 있고, 이후 챔버로부터 반응 부산물을 제거하기 위해 또 다른 펌핑 다운 퍼지가 도입될 수 있다.
한 가지 양태에서, 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 이탈 탄화수소 기 및 적어도 두 개의 Si-H 기를 포함하고, 하기 화학식 I으로 표현되는 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고;
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법이 제공된다:
Figure pct00008
상기 식에서, R은 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 각각 독립적으로 수소 원자, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기, C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다.
아르곤, 희가스, 및/또는 다른 불활성 가스의 유동은 전구체 펄싱 동안 반응 챔버에 적어도 하나의 사이클로디실라잔 전구체의 증기를 전달하는 것을 돕기 위해 담체 가스로서 사용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 2 Torr이다. 다른 구체예에서, 반응 챔버 공정 압력은 약 10 Torr 이하이다. 방법의 특정 구체예에서, 수소를 포함하는 플라즈마는 사이클로디실라잔과 표면 간의 반응으로부터 발생된 탄화수소를 제거하는 것을 돕기 위해 단계 d 전에 삽입될 수 있다. 수소를 포함하는 플라즈마는 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 일부 구체예에서, 플라즈마 함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 다른 구체예에서, 플라즈마는 수소 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 수소/헬륨 플라즈마, 수소/아르곤 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
또 다른 양태에서, 플라즈마 강화 원자 층 증착 공정 또는 플라즈마 강화 ALD-유사 공정을 통해 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 이탈 탄화수소 기 및 적어도 두 개의 Si-H 기를 포함하는 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법이 제공된다. 일부 구체예에서, 플라즈마 함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 다른 구체예에서, 플라즈마는 수소 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 수소/헬륨 플라즈마, 수소/아르곤 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
또 다른 양태에서, 플라즈마 강화 원자 층 증착 공정 또는 플라즈마 강화 ALD-유사 공정을 통해 실리콘 알루미늄 니트라이드 필름을 형성시키는 방법으로서, 방법이
a. 기판을 반응기에 제공하는 단계;
b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 이탈 탄화수소 기 및 적어도 두 개의 Si-H 기를 포함하는 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계;
f. AlCl3, 트리메틸알루미늄 (TMA), 트리에틸알루미늄, 트리스(디메틸아미노)알루미늄 (TDMAA), 트리스(디메틸아미노)알루미늄 (TDMAA), 및 트리스(디에틸아미노)알루미늄 (TDEAA), 및 다른 휘발성 알루미늄 전구체로 이루어진 군으로부터 선택된 알루미늄 전구체로부터 선택된 적어도 하나의 알루미늄 전구체를 반응기에 도입하는 단계;
g. 반응기를 불활성 가스로 퍼징시키는 단계;
h. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
i. 임의로, 반응기를 불활성 가스로 퍼징시키는 단계를 포함하고,
단계 b 내지 i가 요망되는 두께의 실리콘 알루미늄 니트라이드 필름이 얻어질 때까지 반복되는 방법이 제공된다. 일부 구체예에서, 단계 b 내지 e는 더 낮은 알루미늄 함량을 지니는 실리콘 알루미늄 니트라이드를 포함하는 나노라미네이트 구조를 형성시키기 위해 단계 f 전에 여러 번 반복될 수 있다. 이러한 또는 또 다른 구체예에서, 단계 f 내지 i는 더 높은 알루미늄 함량을 지니는 실리콘 알루미늄 니트라이드를 포함하는 나노라미네이트 구조를 형성시키기 위해 여러 번 반복될 수 있다. 일부 구체예에서, 플라즈마 함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 다른 구체예에서, 플라즈마는 수소 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 수소/헬륨 플라즈마, 수소/아르곤 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
상기 단계는 본원에 기재된 방법에 대한 하나의 사이클로 정해지며; 사이클은 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복될 수 있다. 이러한 또는 다른 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안), 이들의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소-함유 공급원을 공급하는 각각의 단계는 생성되는 실리콘 니트라이드 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 기간을 변화시킴으로써 수행될 수 있으나, 항상 이용가능한 규소에 상대적으로 더 적은 화학량론적 양의 질소가 이용된다.
본원에 기재된 방법의 특정 구체예에서, 단계 b 내지 e는 약 0.1 내지 약 500Å 또는 약 0.1 내지 약 5Å 또는 약 0.1 내지 약 10Å, 또는 약 0.1 내지 약 50Å, 또는 0.1 내지 100Å 범위의 두께를 지니는 실리콘 니트라이드 필름을 제공하도록 반복된다. 본원에 기재된 방법의 한 특정 구체예에서, 수소를 포함하는 플라즈마는 사이클로디실라잔과 표면 사이의 반응으로부터 발생되는 탄화수소를 제거하는 것을 돕기 위해 단계 d 전에 삽입될 수 있다. 수소를 포함하는 플라즈마는 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
특정 구체예에서, 생성된 실리콘 니트라이드 함유 필름 또는 코팅은 후-증착 처리, 예컨대, 이로 제한되지는 않지만, 플라즈마 처리, 화학적 처리, 자외선 광 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출될 수 있다. 본원에 기재된 방법의 한 가지 특정 구체예에서, 수소를 포함하는 플라즈마는 밀도를 증가시킬 뿐만 아니라 에칭률을 감소시키기 위해 후-증착 처리 내지 증착시 실리콘 니트라이드 필름으로서 사용될 수 있다. 수소를 포함하는 플라즈마는 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
특정 구체예에서, 본원에 기재된 실리콘 니트라이드 함유 필름은 6 이하의 유전 상수를 지닌다. 이러한 또는 다른 구체예에서, 필름은, 수은 프로브 기술에 의해 측정하는 경우, 약 5 또는 그 미만, 또는 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만의 유전 상수를 지닐 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮은)를 지니는 필름이 필름의 요망되는 최종 용도에 좌우하여 형성될 수 있음이 예견된다. 본원에 기재된 사이클로디실라잔 전구체 및 공정을 이용하여 형성되는 실리콘 함유 또는 실리콘 니트라이드 필름의 예는 포뮬레이션 SixOyCzNvHw를 지니며, 여기서 Si는 약 10% 내지 약 50% 범위이고; O는 약 0% 내지 약 10% 범위이고; C는 약 0% 내지 약 20% 범위이고; N은 약 10% 내지 약 75% 또는 약 10% 내지 60% 범위이고; H는 약 0% 내지 약 10% 범위의 원자 중량 퍼센트 %이고, 여기서, 예를 들어, x-선 광전자 분광기(x-ray photoelectron spectroscopy: XPS) 또는 이차 이온 질량 분광분석기(secondary ion mass spectrometry: SIMS)에 의해 측정하는 경우, x+y+z+v+w는 100 원자 중량 퍼센트이다.
필름이 희가스를 포함하는 플라즈마를 이용하여 증착되는 한 특정 구체예에서, 실리콘 니트라이드 필름은, 예를 들어, XPS 또는 기타 수단에 의해 측정하는 경우, 약 5% 내지 약 50%의 탄소 원자 중량 퍼센트를 포함한다. 이러한 특정 구체예에서, 실리콘 니트라이드 필름은 추가로 약 10% 내지 약 40% 범위의 Si; 약 0% 내지 약 5% 범위의 O; 약 0% 내지 약 75% 또는 약 0% 내지 50% 범위의 N; 약 0% 내지 약 10% 범위의 H를 포함하고(원자 중량 퍼센트 %), 필름의 전체 중량 퍼센트는 총 100 원자 중량 퍼센트가 된다.
상기 언급된 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부 상에 실리콘 니트라이드 필름을 증착시키기 위해 사용될 수 있다. 적합한 기판의 예는 규소, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 가요성 기판, 예컨대, IGZO, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리 및 알루미늄, 및 확산 배리어 층, 예컨대, 이로 제한되지는 않지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함하지만, 이로 제한되지 않는다. 필름은 다양한 이후의 공정 단계, 예를 들어, 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 및 비등방성 에칭 공정과 양립된다.
증착된 필름은 컴퓨터 칩, 광학 장치, 자기 정보 저장, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계 시스템(microelectromechanical system: MEMS), 나노전자기계 시스템, 박막 트랜지스터(thin film transistor: TFT), 발광 다이오드(light emitting diode: LED), 유기 발광 다이오드(organic light emitting diode: OLED), IGZO, 및 액정 디스플레이(liquid crystal display: LCD)를 포함하지만, 이로 제한되지 않는 적용들을 지닌다.
하기 실시예는 본원에 기재된 실리콘 니트라이드 필름을 증착시키기 위한 방법을 예시한 것이고, 본원에 첨부된 청구항을 어떠한 방식으로 이를 제한하고자 의도된 것이 아니다.
실시예
하기 실시예에서, 달리 명시되지 않는 한, 특성들은 중간 저항(14-17Ω-cm) 단결정 실리콘 웨이퍼 기판 상에 증착된 샘플 필름으로부터 얻어졌다. 13.56 MHz 유도 플라즈마로 샤워헤드 설계를 지니는 CN-1 반응기를 사용하여 모든 필름 증착을 수행하였다. 전형적인 공정 조건에서, 달리 명시되지 않는 한, 챔버 압력을 약 1 내지 약 5 Torr 범위의 압력으로 고정시켰다. 챔버 압력을 유지하기 위하여 추가의 불활성 가스를 사용하였다. 알루미늄 전구체를 증기 유도를 이용하여(즉, 아르곤이 전혀 사용되지 않음) 전달하였다. 사용된 전형적은 RF 출력은 0.7W/cm2의 출력 밀도를 제공하기 위해 150 mm 웨이퍼의 전극 면적에 걸쳐 125W였다. 필름 증착은 각각 열적 ALD 및 플라즈마 강화 ALD에 대하여 표 1 및 2에 열거된 단계를 포함하였다. 표 1에서 단계 1 내지 4는 1회의 PEALD 사이클로 구성되고, 달리 명시되지 않는 한, 요망되는 필름 두께를 얻을 때까지 총 300회 반복하였다.
표 1. PEALD 실리콘 니트라이드 필름에 사용된 단계
Figure pct00009
증착된 필름에 대한 반응성 지수(RI) 및 두께를 엘립소미터를 사용하여 측정하였다. 막 불-균일도를 다음 표준 방정식을 사용하여 계산하였다: % 불-균일도 = ((최대 두께 - 최소 두께)/2*평균(avg) 두께)). 필름 구조 및 조성을 푸리에 변환 적외선(Fourier Transform Infrared: FTIR) 분광기 및 X-선 광전자 분광기(X-Ray Photoelectron Spectroscopy: XPS)를 사용하여 분석하였다. 필름에 대한 밀도를 X-선 반사 측정기(X-ray Reflectometry: XRR)로 측정하였다.
실시예 1. 1,3-비스(3차-부틸)사이클로디실라잔의 합성
THF (800 mL) 및 헥산 (600 mL) 중의 비스(3차-부틸아미노)실란 (BTBAS) (200g, 1.14 mol, 1 eq)의 교반된 용액에 -40℃에서 불활성 분위기하에 헥산 (637 g, 2.28 mol, 2 eq) 중의 2.5 M BuLi 용액을 서서히 첨가하여 -30℃ 미만의 반응 온도를 유지하였다. 반응 용액을 주위 온도로 가온시키고, 용액을 비스(3차-부틸아미노)실란 디리튬 아미드로서 시약병으로 수거하였다. THF (500g) 및 헥산 (500 g)의 교반된 용액에 -40 내지 -30℃에서 불활성 분위기하에 15 g/min의 속도로 비스(3차-부틸아미노)실란 디리튬 아미드의 용액 및 디클로로실란 가스 (3.6 ml/sec, 총 2560 ml)을 딥튜브를 통해 동시에 첨가하여 -20℃ 미만의 온도로 그리고 용액 중의 두 개의 반응물의 몰 비를 1:1로 유지하였다. 반응 용액을 실온으로 가온시키고, 고형물을 여과했다. 용매가 제거된 후, 미정제 생성물을 감압 (56-7℃/7 mmHg) 증류에 의해 정제하여 118.9g의 순수한 생성물 3 (51.6%)을 제공하였다. BP: 181℃; MS: C8H22N2Si에 대한 m/z 계산치; [M], 202; 실측치, 187 (M-CH3).
실시예 2. 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔의 합성
THF (1400g) 중의 비스(3차-부틸아미노)실란 (BTBAS) (200 g, 1.15 mol, 1 eq)의 교반 용액에 -40℃에서 불활성 분위기하에 헥산 (639 g, 2.3 mol, 2 eq) 중의 2.5 M BuLi 용액을 서서히 첨가하여 -30℃ 미만의 반응 온도를 유지하였다. 반응이 완료되고, 반응 온도를 -40℃로 냉각시킨 후, 1237 g의 헥산 중의 메틸디클로로실란 (137g)의 용액을 반응 용액에 -10℃ 미만의 온도를 유지시키는 속도로 첨가하였다. 반응 용액을 실온으로 가온시키고, 고형물을 여과했다. 용매를 제거하고, 미정제 생성물을 감압 증류(55℃/4 mmHg)에 의해 정제하여 118.1 g의 순수한 생성물 4 (47.6%)를 수득하였다. MS: C9H24N2Si에 대한 m/z 계산치; [M], 216; 실측치, 201 (M-CH3).
실시예 3. 1,3-비스(3차-부틸)사이클로디실라잔 및 질소 플라즈마를 사용한 PEALD 실리콘 니트라이드 필름
실리콘 웨이퍼를 13.56 MHz의 유도 플라즈마와 함께 샤워헤드 설계가 구비된 CN-1 반응기에 투입하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 사이클로디실라잔 전구체로서 1,3-비스(3차-부틸)사이클로디실라잔을 반응기에 72℃의 온도로 증기 유도를 이용하여 전달하였다. ALD 사이클은 표 1에 제공된 공정 단계로 구성되고, 하기 공정 파라미터가 이용되었다:
a. 사이클로디실리잔 전구체를 반응기에 도입
질소 유량: 1000 sccm
사이클로디실라잔 전구체 펄스: 1 초
b. 불활성 가스 퍼지
질소 유량: 1000 sccm
퍼지 시간: 10 초
c. 질소 플라즈마 도입
질소 유량: 1000 sccm
질소 플라즈마 펄스: 125W의 플라즈마 출력으로 10 초
d. 퍼지
질소 유량: 1000 sccm
퍼지 시간: 10 초
단계 a 내지 d를 500회 사이클 동안 반복하였다. 증착시 실리콘 니트라이드의 두께는 0.29 Å/초의 성장 속도에 상응하여 약 145Å였다. SIMS 조성 분석은 실리콘 니트라이드가 Si, 36.22 at%; N, 52.45 at%; C, 1.16 at%; O, 2.79 at%, 및 H, 11.32 at%를 지닌다는 것을 나타냈다.
실시예 4. 1,3-비스(3차-부틸)사이클로디실라잔 및 암모니아 플라즈마를 사용한 PEALD 실리콘 니트라이드 필름
실리콘 웨이퍼를 13.56 MHz의 유도 플라즈마와 함께 샤워헤드 설계가 구비된 CN-1 반응기에 투입하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 사이클로디실라잔 전구체로서 1,3-비스(3차-부틸)사이클로디실라잔을 반응기에 72℃의 온도로 증기 유도를 이용하여 전달하였다. ALD 사이클은 표 1에 제공된 공정 단계로 구성되고, 하기 공정 파라미터가 이용되었다:
a. 사이클로디실리잔 전구체를 반응기에 도입
아르곤 유량: 1000 sccm
사이클로디실라잔 전구체 펄스: 1 초
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
c. 암모니아 플라즈마 도입
아르곤 유량: 1000 sccm
암모니아 유량: 500 sccm
플라즈마 펄스: 125W의 플라즈마 출력으로 10 초
d. 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
단계 a 내지 d를 500회 사이클 동안 반복하였다. 증착시 실리콘 니트라이드의 두께는 0.06 Å/초의 성장 속도에 상응하여 약 30Å였다.
실시예 5. 1,3-비스(3차-부틸)사이클로디실라잔 및 질소/암모니아 플라즈마를 사용한 PEALD 실리콘 니트라이드 필름
실리콘 웨이퍼를 13.56 MHz의 유도 플라즈마와 함께 샤워헤드 설계가 구비된 CN-1 반응기에 투입하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 사이클로디실라잔 전구체로서 1,3-비스(3차-부틸)사이클로디실라잔을 반응기에 72℃의 온도로 증기 유도를 이용하여 전달하였다. ALD 사이클은 표 1에 제공된 공정 단계로 구성되고, 하기 공정 파라미터가 이용되었다:
a. 사이클로디실리잔 전구체를 반응기에 도입
아르곤 유량: 1000 sccm
사이클로디실라잔 전구체 펄스: 1 초
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
c. 암모니아 플라즈마 도입
아르곤 유량: 1000 sccm
암모니아 유량: 250 sccm
질소 유량: 250 sccm
플라즈마 펄스: 125W의 플라즈마 출력으로 10 초
d. 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
단계 a 내지 d를 500회 사이클 동안 반복하였다. 증착시 실리콘 니트라이드의 두께는 0.08 Å/초의 성장 속도에 상응하여 약 40Å였다.
실시예 6. 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔 및 질소 플라즈마를 사용한 PEALD 실리콘 니트라이드 필름
실리콘 웨이퍼를 13.56 MHz의 유도 플라즈마와 함께 샤워헤드 설계가 구비된 CN-1 반응기에 투입하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 사이클로디실라잔 전구체로서 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔을 반응기에 80℃의 온도로 증기 유도를 이용하여 전달하였다. ALD 사이클은 표 1에 제공된 공정 단계를 포함하고, 하기 공정 파라미터가 이용되었다:
a. 사이클로디실리잔 전구체를 반응기에 도입
질소 유량: 1000 sccm
사이클로디실라잔 전구체 펄스: 0.4 내지 1 초로 다양
b. 불활성 가스 퍼지
질소 유량: 1000 sccm
퍼지 시간: 10 초
c. 질소 플라즈마 도입
질소 유량: 1000 sccm
질소 플라즈마 펄스: 125W의 플라즈마 출력으로 10 초
d. 퍼지
질소: 1000 sccm
퍼지 시간: 10 초
단계 a 내지 d를 500회 사이클 동안 반복하였다. 증착시 실리콘 니트라이드의 두께는 약 107 Å 내지 133 Å였다(도 1 참조). 이제 도 1을 참조하면, 도 1은 300℃에서 질소 플라즈마를 사용한 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔의 펄스 시간에 대한 증착시 실리콘 니트라이드의 실리콘 니트라이드 필름 두께를 보여주는 것인데, 이는 0.8 s에서 자가-제한 거동을 입증한다. SIMS 분석으로 1 초의 사이클로디실라잔 전구체 펄스로 증착된 실리콘 니트라이드는 다음과 같은 조성을 나타냈다: Si, 33.88 at%; N, 50.50 at%; C, 1.60 at%; O, 3.03 at%, 및 H, 10.98 at%. 이제 도 2를 참조하면, 도 2는 1초의 사이클로디실라잔 전구체 펄스의 경우의 사이클 횟수에 대한 실리콘 니트라이드 두께를 보여주는 것인데, 이는 0.26Å/사이클의 성장 속도를 시사한다. 1초의 사이클로디실라잔 전구체 펄스로 증착된 실리콘 니트라이드에 대한 희석 HF에서의 습식 에칭률은 열적 실리콘 옥사이드와 관하여 약 0.7이고, 동일한 에칭 조건하에서 열적 실리콘 니트라이드와 관련하여 2.53이었다.
실시예 7. 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔 및 암모니아 플라즈마를 사용한 PEALD 실리콘 니트라이드 필름
실리콘 웨이퍼를 13.56 MHz의 유도 플라즈마와 함께 샤워헤드 설계가 구비된 CN-1 반응기에 투입하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 사이클로디실라잔 전구체로서 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔을 반응기에 80℃의 온도로 증기 유도를 이용하여 전달하였다. ALD 사이클은 표 1에 제공된 공정 단계로 구성되고, 하기 공정 파라미터가 이용되었다:
a. 사이클로디실라잔 전구체를 반응기에 도입
아르곤 유량: 1000 sccm
사이클로디실라잔 전구체 펄스: 1 초
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
c. 암모니아 플라즈마 도입
아르곤 유량: 1000 sccm
암모니아 유량: 500 sccm
플라즈마 펄스: 125W의 플라즈마 출력으로 10 초
d. 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
단계 a 내지 d를 500회 사이클 동안 반복하였다. 증착시 실리콘 니트라이드의 두께는 0.06 Å/초의 성장 속도에 상응하여 약 30Å였다.
본 발명은 특정 구체예와 관련하여 기술되었지만, 다양한 변화가 이루어질 수 있고, 등가물이 본 발명의 범위로부터 벗어남 없이 이의 요소(element)에 대하여 대체될 수 있음이 당업자에 의해 이해될 것이다. 또한, 본 발명의 교시에 대하여 이의 본질적인 범위로부터 벗어남 없이 특정 상황 또는 물질을 조정하기 위해 다수 변경들이 이루어질 수 있다. 따라서, 본 발명은 본 발명을 수행하기 위해 고려되는 가장 우수한 방식으로서 개시되는 특정 구체예로 제한되지 않고, 본 발명은 첨부된 청구항의 범위 내에 속하는 모든 구체예를 포함할 것으로 의도된다.

Claims (26)

  1. 기판(substrate)의 적어도 하나의 표면 상에 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
    a. 기판을 반응기에 제공하는 단계;
    b. 이탈 탄화수소 기 및 적어도 두 개의 Si-H 기를 포함하고, 하기 화학식 I으로 표현되는 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계:
    c. 퍼지 가스로 반응기를 퍼징시키는 단계;
    d. 플라즈마 함유 공급원 및 불활성 가스를 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
    e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고;
    단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법:
    Figure pct00010

    상기 식에서, R은 분지형 C4 내지 C10 알킬 기로부터 선택되고; R1, R2, R3, R4는 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 전자 끄는 기(electron withdrawing group), C4 내지 C10 아릴 기, 및 할라이드 원자로부터 선택된다.
  2. 제1항에 있어서, 적어도 하나의 사이클로디실라잔 전구체가 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  3. 제1항에 있어서, 플라즈마 함유 공급원이 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  4. 제1항에 있어서, 실리콘 니트라이드 필름이 2.2g/cc 이상의 밀도를 지니는 방법.
  5. 제1항에 있어서, 방법이 기상 증착 공정이고, 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition) 및 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition)으로부터 선택된 적어도 하나로 이루어지는 군으로부터 선택되는 방법.
  6. 제1항에 있어서, 방법이 약 400℃ 이하의 하나 이상의 온도에서 실시되는 방법.
  7. 제1항에 있어서, 방법이 약 300℃ 이하의 하나 이상의 온도에서 실시되는 방법.
  8. 제1항에 있어서, 단계 b가 반응기에 희가스를 도입함을 추가로 포함하는 방법.
  9. 기판의 적어도 하나의 표면 상에 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
    a. 기판을 반응기에 제공하는 단계;
    b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
    c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
    d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
    e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
    단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법.
  10. 제9항에 있어서, 실리콘 니트라이드 필름이 2.2g/cc 이상의 밀도를 지니는 방법.
  11. 제9항에 있어서, 방법이 플라즈마 강화 화학적 기상 증착 및 플라즈마 강화 사이클릭 화학적 기상 증착으로부터 선택된 적어도 하나로 이루어지는 군으로부터 선택되는 기상 증착 공정인 방법.
  12. 제9항에 있어서, 방법이 400℃ 이하의 온도에서 실시되는 방법.
  13. 제9항에 있어서, 방법이 300℃ 이하의 온도에서 실시되는 방법.
  14. 제9항에 있어서, 플라즈마 함유 공급원이 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  15. 제9항에 있어서, 단계 b가 반응기에 희가스를 도입함을 추가로 포함하는 방법.
  16. 제9항에 있어서, 수소를 포함하는 플라즈마가 사이클로디실라잔과 표면 간의 반응으로부터 발생되는 탄화수소를 제거하는 것들 돕기 위해 단계 d 전에 삽입될 수 있는 방법.
  17. 제16항에 있어서, 수소를 포함하는 플라즈마가 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 방법.
  18. 기판의 적어도 하나의 표면 상에 실리콘 니트라이드 필름을 형성시키는 방법으로서, 방법이
    a. 기판을 반응기에 제공하는 단계;
    b. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 사이클로디실라잔 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 사이클로디실라잔이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
    c. 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
    d. 플라즈마 함유 공급원을 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고, 적어도 하나의 반응성 부위를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 발생되는 단계; 및
    e. 임의로, 불활성 가스로 반응기를 퍼징시키는 단계를 포함하고,
    단계 b 내지 e가 요망되는 두께의 실리콘 니트라이드 필름이 얻어질 때까지 반복되는 방법.
  19. 제18항에 있어서, 실리콘 니트라이드 필름이 2.2g/cc 이상의 밀도를 지니는 방법.
  20. 제18항에 있어서, 방법이 플라즈마 강화 화학적 기상 증착 및 플라즈마 강화 사이클릭 화학적 기상 증착으로부터 선택된 적어도 하나로 이루어지는 군으로부터 선택되는 기상 증착 공정인 방법.
  21. 제18항에 있어서, 방법이 400℃ 이하의 온도에서 실시되는 방법.
  22. 제18항에 있어서, 플라즈마 함유 공급원이 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  23. 1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔으로 이루어진 군으로부터 선택되는 적어도 하나의 사이클로디실라잔 전구체를 포함하는 규소-함유 필름의 기상 증착을 위한 조성물.
  24. 규소-함유 필름의 증착을 위해 사이클로디실라잔 전구체를 전달하는데 사용되는 용기(vessel)로서, 용기가
    1,3-비스(3차-부틸)사이클로디실라잔, 1,3-비스(3차-부틸)-2-메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)사이클로디실라잔, 1,3-비스(3차-아밀)-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2,4-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로클로디실라잔, 1,3-비스(3차-부틸)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로클로디실라잔, 1,3-비스(3차-아밀)-2,4-디클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2,4,4-트리클로로사이클로디실라잔, 1,3-비스(3차-부틸)-2-디메틸사이클로디실라잔, 1,3-비스(3차-부틸)-2-클로로-2-메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-디메틸사이클로디실라잔, 1,3-비스(3차-아밀)-2-클로로-2-메틸-사이클로디실라잔, 1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔1,3-비스(3차-부틸)-2-비닐사이클로디실라잔, 1,3-비스(3차-부틸)-2-에티닐 사이클로디실라잔으로 이루어진 군으로부터 선택된 사이클로디실라잔 전구체로서, 전구체의 순도가 약 98% 이상인 사이클로디실라잔 전구체; 및
    헬륨, 아르곤, 질소 및 이들의 조합물로 이루어진 군으로부터 선택된 불활성 가스를 포함하는 콘테이너(container)의 헤드스페이스를 포함하는 용기.
  25. 제24항에 있어서, 용기가 스테인리스 강으로 구성되는 용기.
  26. 제1항의 방법에 의해 생산되는 규소 함유 필름.
KR1020187005616A 2015-07-31 2016-07-28 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법 KR102245160B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562199593P 2015-07-31 2015-07-31
US62/199,593 2015-07-31
PCT/US2016/044472 WO2017023693A1 (en) 2015-07-31 2016-07-28 Compositions and methods for depositing silicon nitride films

Publications (2)

Publication Number Publication Date
KR20180034581A true KR20180034581A (ko) 2018-04-04
KR102245160B1 KR102245160B1 (ko) 2021-04-26

Family

ID=56616074

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187005616A KR102245160B1 (ko) 2015-07-31 2016-07-28 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법

Country Status (8)

Country Link
US (1) US11035039B2 (ko)
EP (2) EP4108803A1 (ko)
JP (1) JP6600074B2 (ko)
KR (1) KR102245160B1 (ko)
CN (2) CN113403604B (ko)
IL (1) IL256951B (ko)
TW (3) TWI626329B (ko)
WO (1) WO2017023693A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20210052026A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물 및 이를 이용한 박막의 제조 방법
KR20210052027A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물, 이를 포함하는 박막 및 그 박막의 제조방법
KR20210064658A (ko) 2019-11-26 2021-06-03 에스케이트리켐 주식회사 실리콘 함유 박막 형성용 전구체, 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102613423B1 (ko) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3682041B1 (en) * 2017-09-14 2022-04-20 Versum Materials US, LLC Methods for depositing silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7174614B2 (ja) * 2018-12-12 2022-11-17 株式会社日立製作所 ナノポア形成方法及び分析方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202107377VA (en) * 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR102422927B1 (ko) * 2019-08-22 2022-07-21 (주)디엔에프 신규한 실릴사이클로다이실라잔 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112242298B (zh) * 2020-09-14 2022-06-07 北海惠科光电技术有限公司 一种氮化硅薄膜的制作方法、薄膜晶体管和显示面板
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230279545A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
JP2006517517A (ja) * 2002-11-14 2006-07-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ケイ素、窒化ケイ素、二酸化ケイ素および/またはオキシ窒化ケイ素を含むフィルムのようなケイ素含有フィルムの低温蒸着のための組成物および方法
US20150099375A1 (en) * 2013-10-03 2015-04-09 Air Products And Chemicals, Inc. Methods for Depositing Silicon Nitride Films
KR20150083045A (ko) * 2014-01-08 2015-07-16 (주)디엔에프 신규한 사이클로다이실라잔 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1543208A1 (de) * 1962-03-30 1969-06-12 Monsanto Co Verfahren zur Herstellung von 1,3,2,4-Diazadisiletidinen
US3565934A (en) * 1964-09-10 1971-02-23 Monsanto Co Diazadisiletidines and the preparation thereof
US4310477A (en) * 1977-03-25 1982-01-12 Ford Motor Company Method of making a silicon nitride part
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9447287B2 (en) * 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006517517A (ja) * 2002-11-14 2006-07-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ケイ素、窒化ケイ素、二酸化ケイ素および/またはオキシ窒化ケイ素を含むフィルムのようなケイ素含有フィルムの低温蒸着のための組成物および方法
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
US20150099375A1 (en) * 2013-10-03 2015-04-09 Air Products And Chemicals, Inc. Methods for Depositing Silicon Nitride Films
KR20150040234A (ko) * 2013-10-03 2015-04-14 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 질화규소 막을 증착시키는 방법
KR20150083045A (ko) * 2014-01-08 2015-07-16 (주)디엔에프 신규한 사이클로다이실라잔 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20210052026A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물 및 이를 이용한 박막의 제조 방법
KR20210052027A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물, 이를 포함하는 박막 및 그 박막의 제조방법
KR20210064658A (ko) 2019-11-26 2021-06-03 에스케이트리켐 주식회사 실리콘 함유 박막 형성용 전구체, 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.

Also Published As

Publication number Publication date
WO2017023693A1 (en) 2017-02-09
US20190085451A1 (en) 2019-03-21
CN107923040B (zh) 2021-06-25
WO2017023693A8 (en) 2017-03-30
CN113403604A (zh) 2021-09-17
TWI626329B (zh) 2018-06-11
TW201712141A (zh) 2017-04-01
TW201938833A (zh) 2019-10-01
EP3329032B1 (en) 2022-09-21
EP3329032A1 (en) 2018-06-06
TWI683024B (zh) 2020-01-21
US11035039B2 (en) 2021-06-15
JP2018523753A (ja) 2018-08-23
IL256951B (en) 2021-12-01
TW201809338A (zh) 2018-03-16
CN107923040A (zh) 2018-04-17
TWI672392B (zh) 2019-09-21
IL256951A (en) 2018-03-29
KR102245160B1 (ko) 2021-04-26
CN113403604B (zh) 2024-06-14
EP4108803A1 (en) 2022-12-28
JP6600074B2 (ja) 2019-10-30

Similar Documents

Publication Publication Date Title
KR102245160B1 (ko) 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
US11851756B2 (en) Methods for depositing silicon-containing films
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
CN107257867B (zh) 组合物和使用所述组合物沉积含硅膜的方法
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant