TW201535513A - 介電常數減少且機械性質強化的低k介電層 - Google Patents

介電常數減少且機械性質強化的低k介電層 Download PDF

Info

Publication number
TW201535513A
TW201535513A TW104104587A TW104104587A TW201535513A TW 201535513 A TW201535513 A TW 201535513A TW 104104587 A TW104104587 A TW 104104587A TW 104104587 A TW104104587 A TW 104104587A TW 201535513 A TW201535513 A TW 201535513A
Authority
TW
Taiwan
Prior art keywords
layer
gas
oxynitride
exposing
forming
Prior art date
Application number
TW104104587A
Other languages
English (en)
Inventor
Taewan Kim
Kang-Sub Yim
Alexandros T Demos
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201535513A publication Critical patent/TW201535513A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)

Abstract

本發明之實施例大體而言提供一種用於在積體電路內形成低k介電多孔氧碳化矽層的方法及設備。在一個實施例中,提供方法用於沉積含有成孔劑及塊體層的氧碳化矽層,自所形成層選擇性移除成孔劑而無需同時交聯塊體層,及隨後交聯塊體層材料。在其他實施例中,提供方法用於沉積多個氧碳化矽子層,自每個子層選擇性移除成孔劑而無需同時交聯子層之塊狀材料,及單獨交聯子層。

Description

介電常數減少且機械性質強化的低K介電層
本發明之實施例大體而言係關於在基板上製造積體電路之製程。更特定言之,本發明之實施例描述用於沉積低k介電層至基板上以便製造積體電路元件的製程及相關設備。
數十年來,半導體工業一直致力於生產比先前所形成之積體電路(integrated circuits;IC)更小、更快且含有更多元件的積體電路(IC)。減少低k(介電常數)絕緣材料中的介電常數是此努力中的一部分。低k材料通常被認為是具有小於4之介電常數或k的彼等材料。常數k被定義為材料電容率與真空電容率之比率。減少k的不同方法已包括:使用有機聚合物材料,添加氟或碳或其他材料至二氧化矽,及將孔隙合併至介電層中。
組合兩種k減少策略(含有碳的氧化矽與奈米尺度孔隙)的材料之一個實例為應用材料公司的Black Diamond 3TM薄膜。可在Black Diamond 3TM PECVD腔室中沉積起始層,在此之後可藉由移除沉積層中所形成之成孔劑(porogen)的固化處理產生孔隙。孔隙可使薄膜k值減少多達30%。典型固化 處理係由使沉積薄膜曝露於紫外線(ultraviolet;UV)輻射中及退火組成。固化製程移除成孔劑材料以形成空孔隙,同時交聯塊體層,但可在塊體層結構中捕集剩餘成孔劑材料中的一些。在交聯製程期間,形成矽-氧-矽鏈,該等鏈產生塊體層鍵結結構且因此產生機械強層。已固化Black Diamond 3TM層之孔隙具有特徵尺寸分佈且被實體隔離,使得材料保持足夠強。
沉積層中所形成之成孔劑佔據所形成層中原本應被 塊體層材料佔據的容積。成孔劑通常選自可分解成副產物的材料,可藉由習知固化製程移除該等副產物以便在塊體層材料中形成孔洞或孔隙。較佳地,從層中完全擴散、揮發及自塊體層上方所形成之處理區域移除已分解的成孔劑材料。
固化同時交聯或緻密化塊體層及分解孔隙內的材料 或成孔劑。在移除成孔劑以形成孔隙時,所形成層之k值減小,且由於矽鍵結為交聯,該材料變得更強。然而,交聯亦減少致孔材料自沉積層的擴散速率。因此,在完成交聯製程時,在該層內捕集任何剩餘成孔劑。因此,較長的固化時間在移除成孔劑以形成額外孔隙方面變得低效。
當在接近固化製程結束處完全交聯塊體層材料時, 在塊體層內捕集剩餘成孔劑。據信與固化製程結束時不含有成孔劑的薄膜相比,該等剩餘成孔劑可增加薄膜之介電常數。亦據信,該等剩餘成孔劑可減少薄膜之機械強度。
可藉由在層內合併較大容積百分比之孔隙簡單地減少多孔介電層之k值。然而,較高孔隙率造成機械強度減少。因此,在層的低k性質與層的機械強度之間存在折衷。舉例 而言,一些低k薄膜需要足夠的機械強度以便經受製程,該等製程諸如通常在含有IC元件之基板上執行的化學機械研磨(chemical-mechanical polishing;CMP)製程。CMP機器可施加大的力至基板之表層,該力可損壞機械薄弱層。
因此,需要形成可具有減少的k值且具有理想機械強度的介電層之方法。
本發明大體而言係關於在積體電路所使用之介電層。更特定言之,本發明描述一種用於形成低k介電層的製程及相關設備,該等低k介電層展示出改良的介電性質(更低的k)及具有改良的機械性質(更大的硬度、改良的機械強度及更大的楊氏彈性模數)兩者。
在本發明之一些實施例中,執行一種用於處理致孔介電層的新穎方法。此處理可用於在沉積層上執行交聯製程之前選擇性移除成孔劑。藉由先移除成孔劑,有可能單獨交聯塊體薄膜,而無需捕集在原始沉積層中所形成之孔隙中的成孔劑。藉由更完全地清空孔隙,在執行本文所描述之製程後最終形成更低k的材料。自所形成層移除致孔材料亦據信形成機械更強的層。與固化沉積致孔介電層之習知方法相比,申請人已減少為實施許多實施例,該等實施例可減小層的k值及增加層的機械強度兩者。
本發明之實施例可包含一種用於形成低k層的方法,該方法包含:形成氧碳化矽層,該層包括塊體材料及成孔劑材料;使所形成的氧碳化矽層曝露於氣體中,該氣體包 含自由基;及在使所形成的氧碳化矽層曝露於自由基中之後,使所形成的氧碳化矽層曝露於一定量的能量中,其中該量的能量固化所形成的氧碳化矽層。
本發明之實施例可進一步包含一種用於形成低k層 的方法,該方法包含:形成第一氧碳化矽層,該層包含塊體材料及成孔劑材料;使所形成的第一氧碳化矽層曝露於第一氣體中,該第一氣體包含自由基;形成第二氧碳化矽層,該層包含第一氧碳化矽層上的塊體材料及成孔劑材料;使所形成的第二氧碳化矽層曝露於第二氣體中,該第二氣體包含自由基;及使所形成的第一氧碳化矽層及第二氧碳化矽層曝露於一定量的能量中,其中該量的能量固化所形成的第一氧碳化矽層及第二氧碳化矽層。
本發明之實施例可進一步包含一種用於形成低k層 的方法,該方法包含:形成第一氧碳化矽層,該層包含塊體材料及成孔劑材料;使所形成的第一氧碳化矽層曝露於第一氣體中,該第一氣體包含自由基;在使所形成的第一氧碳化矽層曝露於自由基中之後,使所形成的第一氧碳化矽層曝露於一定量的能量中,其中該量的能量固化所形成的第一氧碳化矽層;在使所形成的第一氧碳化矽層曝露於該量的能量中之後,形成第二氧碳化矽層,該層包含第一氧碳化矽層上的塊體材料及成孔劑材料;使所形成的第二氧碳化矽層曝露於第二氣體中,該第二氣體包含自由基;及使所形成的第二氧碳化矽層曝露於一定量的能量中,其中該量的能量固化所形成的第二氧碳化矽層。
105‧‧‧介電材料
107‧‧‧金屬特徵
110‧‧‧介電阻障層
112‧‧‧介電層
114‧‧‧介電蝕刻擋止件
116‧‧‧接觸件/通孔開口
118‧‧‧低k介電層
120‧‧‧導電材料
200‧‧‧完整基板處理系統
201‧‧‧主框架結構
202‧‧‧前端平臺區域
203‧‧‧氣體控制板
205‧‧‧功率分配板
206‧‧‧串聯製程腔室
209‧‧‧基板晶匣
211‧‧‧移送室
212‧‧‧裝載鎖腔室
213‧‧‧基板處理機
216、226‧‧‧串聯製程腔室
238‧‧‧後端
290‧‧‧控制器
292‧‧‧中央處理單元
294‧‧‧記憶體
296‧‧‧支援電路
300‧‧‧腔室主體
304‧‧‧氣體分配系統
306‧‧‧蓋
308‧‧‧底部
310‧‧‧側壁
312‧‧‧第一處理區域
314‧‧‧處理區域
316‧‧‧內壁
320‧‧‧淋噴頭
322‧‧‧射頻電源
324‧‧‧基板
326A‧‧‧第一混合方塊
326B‧‧‧第二混合方塊
328‧‧‧氣源
330‧‧‧泵
332‧‧‧第一氣體供應電路
334‧‧‧第二氣體供應電路
336‧‧‧阻隔板
338‧‧‧介電隔離器
340‧‧‧氣體盒
342‧‧‧通道
344‧‧‧加熱部件
346‧‧‧排氣口
348‧‧‧縫隙
350‧‧‧波紋管
352‧‧‧升降機構
354‧‧‧基板支撐件
394‧‧‧遠端電漿源
398‧‧‧第二氣源
400‧‧‧串聯製程腔室
402‧‧‧UV燈泡
404‧‧‧外殼
406‧‧‧基板支撐件
408‧‧‧基板
410‧‧‧桿
412‧‧‧驅動系統
414‧‧‧視窗
416‧‧‧氣體入口
418‧‧‧共用出口
428‧‧‧氣源
450‧‧‧處理區域
470‧‧‧主體
494‧‧‧遠端電漿源
498‧‧‧第二氣源
500‧‧‧製程序列
510~580‧‧‧步驟
602‧‧‧基板
603‧‧‧沉積層
604‧‧‧塊體層
605‧‧‧處理層
608‧‧‧成孔劑
612‧‧‧孔隙
614‧‧‧處理塊體層
700‧‧‧製程序列
710~780‧‧‧步驟
800‧‧‧製程序列
810~880‧‧‧步驟
因此,可詳細理解本發明之上述特徵之方式,可參照實施例獲得上文簡要概述之本發明之更特定描述,其中一些實施例圖示於附圖中。然而,應注意,附圖僅圖示本發明之典型實施例,且因此不欲視為其範疇之限制,因為本發明可允許其他同等有效之實施例。
第1A圖係根據本發明之一實施例在內部形成有低k介電層的積體電路(IC)基板之橫截面圖。
第1B圖係根據本發明之一實施例在內部形成有低k介電層的IC基板之橫截面圖。
第2圖係根據本發明之一實施例用於形成低k介電層的群集工具之示意性俯視圖。
第3圖係根據本發明之一實施例的介電沉積腔室之橫截面圖式。
第4圖係根據本發明之一實施例的UV及熱固化腔室之橫截面圖式。
第5圖係圖示根據本發明之一實施例用於形成低k介電層的製程之一個實施例之流程圖。
第6A圖係根據本發明之一實施例的含有成孔劑之原沉積低k SiOXCY層之橫截面圖。
第6B圖係根據本發明之一實施例的多孔低k SiOXCY層之橫截面圖,已自該層移除成孔劑以在該層中形成孔隙。
第6C圖係根據本發明之一實施例的交聯多孔低k SiOXCY層之橫截面圖。
第7圖係圖示根據本發明之一實施例用於形成減少 k的介電薄膜之製程之流程圖。
第8圖係圖示根據本發明之一實施例用於形成減少 k的介電薄膜之製程之第二實施例之流程圖。
本發明大體而言提供用於在積體電路(IC)元件內形成低k介電層的方法。然而,應理解,本文所揭示實施例可具有除本發明之說明性實例中所展示的那些以外的系統配置方面的實用性,並且該等實施例亦可發現與IC並不相關的應用,例如微電機系統(micro-electro-mechanical systems;MEMS)、顯示器及太陽能面板。本文所提供實例大體上描述了低k矽碳氧化物薄膜之化學氣相沉積及處理,但本文所描述之處理製程亦可應用於包含其他材料或由替代手段(諸如旋塗玻璃(spin-on glass;SOG))產生的多孔低k介電層。
第1A圖與第1B圖圖示可使用本文所描述之低k層形成的鑲嵌結構之實例。在基板表面上形成介電阻障層110以消除基板與後續沉積材料之間的層間擴散。介電阻障層110可包含諸如摻雜氧的碳化矽或摻雜的氮化矽之材料。基板表面可包含形成於介電材料105中的金屬特徵107(諸如銅特徵)。在介電阻障層110上形成第一低k介電層112。第1B圖圖示基板材料105-107上的未圖案化層110及112,而第1A圖圖示經進一步處理的該等層。介電層112可為本文所描述之多孔低k氧碳化矽(SiOXCY)層。
隨後在第一介電層112上形成矽介電材料(諸如氮 化矽及/或摻雜氧的碳化矽)之介電蝕刻擋止件114。隨後使用習知技術對蝕刻擋止件114進行圖案化蝕刻以界定互連件或接觸件/通孔之開口116。隨後在已圖案化蝕刻擋止層上方形成第二低k介電層118。隨後藉由技術中已知的習知手段沉積及圖案化抗蝕劑以界定接觸件/通孔開口116。隨後執行單個蝕刻製程以界定接觸件/通孔開口116下至蝕刻擋止件及以蝕刻被已圖案化蝕刻擋止件曝露的未保護介電層來界定接觸件/通孔開口116。儘管未圖示,可在第二低k介電層118上形成類似於層110及114的可選介電頂蓋層。低k介電層118亦可為本文所描述之多孔低k SiOXCY層。所描述之用於微影圖案化及蝕刻的方法可利用技術中習知的製程。
隨後沉積一或更多種導電材料120(諸如銅)以填 充所形成接觸件/通孔開口116。可藉由平坦化製程沉積及選擇性移除導電材料之毯覆層,從而在開口116中僅留下該等材料,諸如具有技術中習知之薄導電襯墊阻障層的銅。技術中習知之平坦化製程或化學機械研磨(CMP)可使低k介電層112及118曝露於巨大的力中,若所形成層薄弱,則該力可損壞該等層。因此,IC設計者可需要低k介電層112及118具有足以承受CMP處理的高強度。可重複第1圖所示的層以形成互連金屬化層之整合堆疊。舉例而言,具有9層以上互連導電路徑的元件較為常見。可利用類似於所描述鑲嵌序列的其他方法來形成互連層,但亦可利用本文所描述之低k層。
在本發明中,低k氧碳化矽(SiOXCY)薄膜係指非晶 形SiOXCY層,其中該層包括矽(Si)、氧(O)、碳(C),且X通常介於0與2之間及Y通常介於0與1之間。然而,在一個實施例中,低k氧碳化矽(SiOXCY)可為氫化非晶形SiOXCY或非晶形SiOXCY:H,其中該層包括矽(Si)、氧(O)、碳(C)、氫(H),且X通常介於0與2之間及Y通常介於0與1之間。(SiOXCY)薄膜係指一或更多個非晶形SiOXCY層,其中該層包括矽(Si)、氧(O)、碳(C),且X通常介於0與2之間及Y通常介於0與1之間。然而,在一些情況中,低k氧碳化矽(SiOXCY)可為氫化非晶形SiOXCY或非晶形SiOXCY:H,其中該層包括矽(Si)、氧(O)、碳(C)、氫(H),且X通常介於0與2之間及Y通常介於0與1之間。取決於所形成層之處理狀態,原沉積層將亦含有成孔劑及/或孔隙。成孔劑通常包含前驅物及前驅物副產物,但可包括其他材料。氧碳化矽(SiOXCY)型材料包括有機矽材料、摻雜碳的氧化矽、摻雜氧的碳化矽及包含矽、氧及碳的材料。所形成低k薄膜亦可包括其他材料,諸如氟(F),該等材料可減少k或提供其他理想薄膜特徵。氧碳化矽薄膜可包括具有單獨摻雜水平或組成物的部分,例如薄膜堆疊之含氮下部分可用作擴散阻障層。在一些情況中,氧碳化矽層可為非晶形或結晶,或者具有結晶組份的非晶形。層表面可含有或可不含有特定終止鍵結構,諸如Si-CH3。低k SiOXCY薄膜亦可包括來自處理的雜質,諸如來自化學氣相沉積的彼等雜質。在本發明中,可互換使用術語「層」及「薄膜」,及「部分層」或「子層」可互換指示層的一部分。術語「基板」可指示材料,諸如空白或起始材料,諸如矽晶圓 或鍺晶圓。在生產設置中,「基板」通常為載運部分形成之積體電路的已處理晶圓。
氧碳化矽層形成設備
第2圖至第4圖圖示用於形成低k SiOXCY層的設備。第2圖圖示可併入本發明之實施例的半導體處理系統200之平面圖。系統200圖示ProducerTM處理系統之一個實施例,該處理系統可從商購自美國加州聖克拉拉市的應用材料公司。處理系統200係自備式系統,該系統在主框架結構201上支撐有必要的處理公用設施。處理系統200大體上包括:前端平臺區域202,在該區域中支撐基板晶匣209及將基板裝載至裝載鎖腔室212中及自裝載鎖腔室212卸載;移送室211,該移送室容納基板處理機213;一系列串聯製程腔室206、216及226,該等腔室安裝於移送室211上;及後端238,該後端容納操作系統200所需的支援公用設施,諸如氣體控制板203及功率分配板205。控制器290含有用於自動化任務的電腦及其他電路系統。
串聯製程腔室206、216及226之各者包括兩個處理區域用於處理基板(參看第3圖及第4圖)。兩個處理區域共享共用氣體供應、共用壓力控制及共用製程氣體排放/泵送系統。可改變腔室之排列及組合以達執行特定製程步驟之目的。串聯製程腔室206、216及226之任一者可含有在下文中描述的根據本發明之態樣的處理硬體,該處理硬體包括用於化學氣相沉積含有成孔劑的低k介電SiOXCY層之設備、用於使SiOXCY層曝露於自由基及/或電漿中以便自該等層選擇性 移除致孔材料之設備及包括一或更多個紫外線(UV)燈供基板上的低k介電材料之交聯製程及/或腔室清洗製程中使用之設備。
大體而言,系統控制器290可用於控制基板處理系 統200中所發現的一或更多個元件。系統控制器290大體上經設計以促進處理系統200之控制及自動化且該系統控制器通常包括中央處理單元(central processing unit;CPU)292、記憶體294及支援電路296。CPU 292可為任何形式之電腦處理器之一,該等電腦處理器用於工業設置中,以便控制各種系統功能、基板移動、腔室製程及支援硬體(例如,感測器、機器人、馬達、燈等)及監測製程(例如,基板支撐溫度、電源變量、氣流、腔室壓力、腔室製程時間、I/O訊號等)。 將記憶體294連接至CPU 292,且該記憶體可為可易於取得類型之記憶體中的一或更多者,該等記憶體諸如隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read only memory;ROM)、軟碟、硬碟或任何其他形式之本端或遠端數位儲存器。可編碼軟體指令及資料,並儲存於記憶體294內用於指示CPU 292。亦將支援電路296連接至CPU 292,用於以習知方式支援處理器。支援電路296可包括快取記憶體、電源、時脈電路、輸入/輸出電路系統、子系統等。可由系統控制器290讀取之程式(或電腦指令)判定可在基板上執行哪些任務。較佳地,程式為系統控制器290可讀取之軟體,該軟體包括程式碼以執行與監測、執行及控制基板之移動、支撐及/或定位相關的任務以及在處理系統200中執行之各種 製程配方任務及各種腔室製程配方步驟。在一個實施例中,系統控制器290亦含有複數個可程式化邏輯控制器(programmable logic controllers;PLC),該等可程式化邏輯控制器用於局部控制處理系統200中的一或更多個模組,及材料處理系統控制器(例如,PLC或標準電腦),該材料處理系統控制器處理完整基板處理系統200之較高水平的戰略移動、排程及執行。
在一個實施例中,可將基板提供至群集工具,諸如 第2圖所示之群集工具,該群集工具經與處理腔室配置用於介電薄膜之原位PECVD沉積、曝露於自由基中及UV/熱固化。在此實例中,群集工具經配置用於同時運輸及處理兩個基板。類似地,在安裝於系統上的眾多處理腔室之任一者內,可利用單個晶圓群集工具(諸如由應用材料公司製造的Endura®或Centura®系統)運輸及處理單個基板。在一個實施例中,腔室206及216經配置用於預處理、PECVD沉積致孔低k介電層及藉由曝露於自由基及電漿中來移除成孔劑,而腔室226經配置以執行交聯製程,該交聯製程可包括輸送熱及/或UV波長能量至基板。
第3圖描述化學氣相處理腔室主體300,該腔室主 體包括耦接至腔室主體300的氣體分配系統304。在第3圖所描述之實施例中,串聯腔室主體300包括第一處理區域312及第二處理區域314。可適用受益於本發明的一個處理腔室為PRODUCERTM化學氣相沉積系統,該系統可購自應用材料公司。
在腔室主體300之每個處理區域312、314上方分別 安置淋噴頭320以在腔室內提供氣體之均勻分配。淋噴頭320賦能介電薄膜之原位沉積。淋噴頭320亦用於需要均勻氣體分配的其他製程,諸如用自由基或用電漿原位處理介電薄膜。
示例性腔室主體300大體上包含蓋306、底部308 及側壁310。在腔室主體300之蓋306與底部308之間安置至少一個內壁316以將第一處理區域312與第二處理區域314分離。安置於腔室主體300中的排氣口346大體上將處理區域312、314耦接至真空泵330。在泵330與每個排氣口346之間大體安置節流閥(未圖示),並使用該節流閥調節處理區域312、314中的壓力。
每個處理區域312及314包括基板支撐件354。基 板支撐件354在處理期間支撐基板324。基板支撐件354可藉由各種方法保持基板324,該等方法包括靜電吸引、真空或機械夾持。將每個基板支撐件354耦接至升降機構352,該升降機構控制基板支撐件354相對於淋噴頭320之高度。可藉由升降機構352降低基板支撐件354以促進基板移送穿過安置於側壁310中的基板出入口(未圖示)。反之,可朝向淋噴頭320升高基板支撐件354以在基板324與淋噴頭320之間設置縫隙(或間距)348。在升降機構352與腔室底部308之間耦接波紋管350以防止真空漏洩。
基板支撐件354包括用於熱控制基板324之溫度的 加熱部件344。加熱部件344可為電阻加熱器、用於流動熱傳送流體的流體管道或熱電元件以及其他溫度控制元件。在所 描述實施例中,加熱部件344為電阻加熱器,該電阻加熱器能夠將基板324加熱及維持在約200℃至約450℃的溫度下。
在安置於處理區域312、314中的基板支撐件354上 方的腔室主體300之蓋306中安置氣體盒340。氣體盒340可包括內部至少部分地形成之一或更多個通道342,以促進氣體盒340之熱控制。將每個氣體盒340耦接至氣體分配系統304。氣體分配系統304包括至少一種第一氣體供應電路332及第二氣體供應電路334。第一氣體供應電路332提供至少一種第一製程氣體至每個處理區域312、314。將第一氣體供應電路332分別耦接至安置於腔室主體300之蓋306中的第一混合方塊326A及第二混合方塊326B。將第二氣體供應電路334大體耦接至第一混合方塊326A及第二混合方塊326B,並提供第二製程氣體至處理區域。將氣源328直接耦接至氣體分配系統304。氣源328可為一瓶或多瓶超純氣體,諸如氧(O2)、氮(N2)或氫(H2)。氣源328亦可包括大分子前驅物源或起泡器,其中該前驅物在室溫下為液體且需要加熱線及「推動」氣體用於可靠流動至基板324。氣源328亦可為與共用工廠建築設施的連接網路,該工廠建築設施經配置以提供自共用氣源至個別處理系統的超純氣體輸送。第二氣源398類似於氣源328,但該第二氣源經耦接至遠端電漿源(remote plasma source;RPS)394。RPS 394經配置以藉由使用能量源(未圖示)(例如,微波、RF或高壓電源)輸送能量至該等流動氣體來分解流動穿過RPS的氣體之分子種類。RPS之一個實例為應用材料公司之遠端電漿源硬體,可將該硬體耦接 至腔室以便輸送自由基至基板表面。將RPS 394耦接至氣體分配系統304以提供自由基至基板324之輸送。
下文描述輸送用於處理低k氧碳化矽層之自由基的 一個實施例。在此實施例中,使層曝露於自由基中,以便在無交聯或緻密化周圍層的情況下自孔隙移除致孔材料或含有成孔劑的材料。在一個實施例中,在諸如腔室300之PECVD腔室中執行曝露於自由基中之步驟。
將阻隔板336耦接至腔室主體300之蓋306,並且阻隔板與蓋在每個混合方塊326A、326B下方形成第一氣室。阻隔板336為大體多孔,以分配徑向流出每個混合方塊326A、326B的氣體。
將淋噴頭320大體上耦接至每個阻隔板336與基板支撐件354之間的腔室主體300之蓋306。淋噴頭320大體上均勻分配製程氣體及其他氣體至處理區域312、314以增強沉積均勻性。將射頻(radio frequency;RF)電源322耦接至淋噴頭320。在處理期間應用於淋噴頭320的RF功率通常點燃及維持各別處理區域312、314內的混合製程氣體及/或其他氣體之電漿,從而大體上促進處理溫度降低及沉積速率增加。安置於淋噴頭320與腔室主體300之蓋306之間的介電隔離器338係用於電氣隔離RF熱淋噴頭320與腔室主體300。在一個實施例中,終點偵測硬體(諸如經由光纖光學耦接至腔室300的分光計)可偵測在用於自所形成層移除成孔劑的電漿處理期間電漿中存在或不存在成孔劑副產物。
第4圖圖示用於交聯SiOXCY薄膜之串聯製程腔室 400之部分橫截面視圖,該腔室具有外殼404及電源(未圖示)。外殼404之各者覆蓋分別安置於主體470內所界定之兩個處理區域450上方的兩個UV燈泡402之各別者。處理區域450之各者包括加熱基板支撐件406,該加熱基板支撐件用於在處理區域450內支撐基板408。基板支撐件406可由陶瓷或金屬(諸如鋁)製成。較佳地,基板支撐件406耦接至桿410,該等桿延伸穿過主體470之底部及受到驅動系統412操作以朝向及背離UV燈泡402移動處理區域450中的基板支撐件406。驅動系統412亦可在固化期間旋轉及/或移動基板支撐件406以進一步增強基板照射之均勻性。基板支撐件406之可調定位可賦能控制揮發性固化副產物產生及控制淨化與清洗氣體流動圖案及駐留時間,同時亦取決於光輸送系統之本質潛在地精調基板408上的入射UV照射度水平。在一個實施例中,自電源(未圖示)供應RF功率至基板支撐件406以便在處理區域450中形成電漿。
自UV燈泡402發射的UV光藉由傳遞穿過安置於 蓋402中的孔內的視窗414進入處理區域450。視窗414較佳地由合成石英玻璃製成且具有足以維持真空而不破裂的厚度。進一步地,視窗414較佳為熔合矽石,該矽石向下透射UV光至約150nm。由於蓋402密封至主體470及視窗414經密封至蓋402,處理區域450提供能夠維持自約1托至約650托壓力之容積。處理或清洗氣體經由兩個入口通道416之各別者進入處理區域450。處理或清洗氣體隨後經由共用出口418退出處理區域450。
進入入口通道416的處理氣體可包括氣體與自由基 兩者。將氣源428直接耦接至入口通道416。氣源428可為一瓶或多瓶超純氣體,諸如氧(O2)、氮(N2)、氨(NH3)或氫(H2)。 氣源428亦可包含與工廠建築設施的連接,該工廠建築設施經配置以提供自共用氣源至複數個處理系統的超純氣體輸送。第二氣源498在描述上類似於氣源428,但該第二氣源經耦接至遠端電漿源(RPS)494。RPS 494經配置以分解流動穿過RPS的氣體之分子種類。將RPS 494耦接至氣體入口416以提供自由基至基板408之輸送。
在一實施例中,來自RPS 494的自由基及來自氣源 428的氣體進入處理區域450,使得在執行固化製程前自由基及/或電漿可與所沉積低k介電層之孔隙內所含有的致孔材料反應。在一個實施例中,曝露於自由基及/或電漿中之步驟可處於單獨固化步驟之前。在一個此類實施例中,在經配置用於執行固化製程的腔室中執行曝露於自由基及/或電漿中之步驟。在使基板表面選擇性曝露於自由基流中之後,可應用UV光及熱來固化層,其中矽原子與層中的氧原子及其他原子形成鍵結,從而交聯及/或緻密化該層。
氧碳化矽層形成與處理實例
第5圖、第7圖及第8圖圖示形成低k SiOXCY層之製程序列,而第6A圖至第6C圖圖示層形成序列之不同部分(諸如第5圖圖示之製程序列500中的部分)期間的基板。製程序列500、700或800之各者描述沉積致孔層、自塊體層中所形成之孔隙選擇性移除成孔劑及交聯剩餘多孔塊體層的 步驟。
第5圖係用於形成低k SiOXCY層的製程序列500之 製程流程圖,該低k SiOXCY層可用於形成積體電路的一部分。儘管可改變步驟次序,如第5圖所示之步驟次序包括預處理步驟510、低k層沉積步驟520、處理步驟540、交聯步驟570及可選冷卻步驟580。步驟540及570可包括一或更多個子步驟,下文將描述該等子步驟。
在製程序列500開始時,經由負載鎖將基板引入到 群集工具系統之真空環境中。接著,在預處理步驟510期間,可使用處理系統(例如,處理系統200)中腔室中的電漿加熱、脫氣及/或預清洗基板。預處理步驟可用於製備基板用於化學氣相沉積製程。詳言之,加熱幫助移除因基板曝露於大氣濕度中而吸附的水蒸氣。加熱亦可將基板溫度提升至所需化學氣相沉積溫度。在一些情況中,可藉由使基板表面曝露於電漿中來執行預清洗步驟。
接著,在步驟520處,沉積含有成孔劑的低k介電 層,諸如層603(第6A圖)。用於沉積致孔低k介電層的不同方法可包括CVD、PECVD、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)及旋塗玻璃(SOG)製程。第6A圖描述在低k層沉積步驟520期間的其上形成有層603(諸如含有成孔劑的SiOXCY或有機矽層)的基板602。基板602可為金屬、塑膠、有機材料、矽、玻璃、石英或聚合物材料等的薄片。在一個實施例中,基板602係含矽基板,在該含矽基板上將沉積含矽層。在其他實施 例中,基板602可為無摻雜、摻雜或以其他方式修飾之玻璃基板。典型IC基板將亦包括眾多圖案化層及IC之形成或部分形成的部分。
在一個實施例中,藉由使用電漿增強化學氣相沉積 (plasma enhanced chemical vapor deposition;PECVD)製程形成沉積層603以形成含有成孔劑608的塊體層604。可在該層內由沉積薄膜內所捕集的前驅物及反應物形成成孔劑。成孔劑可由大分子前驅物及該等前驅物之副產物形成。技術中已知的低k SiOXCY層之實例包括應用材料公司之Black Diamond 2TM及Black Diamond 3TM薄膜。可在諸如上文所描述腔室(第3圖)之PECVD腔室或在諸如應用材料公司之HDP-CVD腔室之腔室中沉積低k介電薄膜,其中應用材料公司之HDP-CVD腔室使用三個不同RF電源向基板支撐件、淋噴頭及感應線圈供電。在典型PECVD沉積步驟520中,將有機矽烷(含矽)型氣體與非矽烷氣體一起流入製程腔室中。 技術中已知許多此類矽烷氣體。可調節矽烷氣體或矽烷氣體混合物濃度、沉積溫度、沉積製程壓力及RF功率位準以在沉積薄膜中形成所需孔隙尺寸。大體而言,較大矽烷前驅物分子在薄膜內形成較大成孔劑。
PECVD含矽前驅物可包括一或更多種前驅物,該等 前驅物選自由以下組成之群組:二乙氧基甲基矽烷(diethoxymethylsilane)、二甲氧基甲基矽烷(dimethoxymethylsilane)、二-異丙氧基甲基矽烷(di-isopropoxymethylsilane)、二-第三丁氧基甲基矽烷 (di-t-butoxymethylsilane)、甲基三乙氧基矽烷(methyltriethoxysilane)、甲基三甲氧基矽烷(methyltrimethoxysilane)、甲基三-異丙氧基矽烷(methyltri-isopropoxysilane)、甲基三-第三丁氧基矽烷(methyltri-t-butoxysilane)、二甲基二甲氧基矽烷(dimethyldimethoxysilane)、二甲基二乙氧基矽烷(dimethyldiethoxysilane)、二甲基二-異丙氧基矽烷(dimethyldi-isopropoxysilane)、二甲基二-第三丁氧基矽烷(dimethyldi-t-butoxysilane)及四乙氧基矽烷(tetraethoxysilane)、三甲基矽烷(trimethylsilane)、四甲基矽烷(tetramethylsilane)、甲基三乙醯氧基矽烷(methyltriacetoxysilane)、甲基二乙醯氧基矽烷(methyldiacetoxysilane)、甲基乙氧基二-矽氧烷(methylethoxydi-siloxane)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane)、八甲基環-四矽氧烷(octamethylcyclo-tetrasiloxane)、二甲基二乙醯氧基矽烷(dimethyldiacetoxysilane)、雙(三甲氧矽烷基)甲烷(bis(trimethoxysilyl)methane)、雙(二甲氧矽烷基)-甲烷(bis(dimethoxysilyl)-methane)、四乙氧基矽烷(tetraethoxysilane)、三乙氧基矽烷(triethoxysilane)及上述之混合物。
如上文所指出,塊體層604可包括矽原子、氧原子 及/或碳原子。在一個實例中,塊體層604係含SiOXCY層,其中X通常介於0與2之間及Y係0與1之間的數。在一些 情況中,含SiOXCY層亦可包括氫。在一個實施例中,SiOXCY層可進一步包含氟。藉由CVD(諸如PECVD)大體上沉積SiOXCY層。然而,用於沉積該層的沉積技術並不欲被視為限制性。沉積塊體層604中的碳原子、矽原子、氧原子、氟原子及氫原子之比率及結構排列取決於所選前驅物、氧化劑及CVD製程條件,該等製程條件諸如RF功率、氣流、駐留時間及基板溫度。
如第6A圖所示,成孔劑608佔據層603中原本應 被塊體層604所佔據的容積。藉由自所形成層603移除成孔劑608形成孔隙612(第6B圖)。成孔劑608通常被隨機嵌入塊體層內,然而此排列並不欲被視為限制性。舉例而言,SiOXCY層可包含具有不同材料性質的子層,且該層中段的成孔劑密度可比該薄膜之頂部及底部的成孔劑密度更高。在一些情況中,成孔劑608可為塊體層之分立組份或可為形成塊體層604之分子的一部分,例如使-CH2基附接於矽原子。成孔劑608通常為層生長期間嵌入化學氣相沉積SiOXCY層中的前驅物氣體(或多種前驅物氣體)的分子或分子部分。
如上文所描述,將含有非矽的大分子有機前驅物流 添加至矽烷流中而在該層中形成成孔劑608。含有非矽的前驅物之實例包括乙烯基-1,4-二氧嗪基醚(vinyl-1,4-dioxinyl ether)、乙烯基呋喃基醚(vinyl furyl ether)、乙烯基-1,4-戴奧辛(vinyl-1,4-dioxin)、乙烯基呋喃(vinyl furan)、糠酸甲酯(methyl furoate)、甲酸呋喃酯(furyl formate)、乙酸呋喃酯(furyl acetate)、糠醛(furaldehyde)、二呋喃基酮(difuryl ketone)、二呋喃基醚(difuryl ether)、二糠基醚(difurfuryl ether)、呋喃(furan)、1,4-戴奧辛(1,4-dioxin)、上述之氟化衍生物及上述之組合。
接著,在步驟540期間,由於在此步驟期間的該等 成孔劑之化學分解,自所形成層603移除成孔劑608,使得已分解的成孔劑材料可擴散出孔隙612,形成於層中,及理想地擴散出層表面。在步驟540之一個實施例中,藉由使沉積層曝露於自由基或含有自由基的氣體中來分解成孔劑608。在另一實施例中,藉由使基板表面曝露於沉積層表面上所形成之電漿中來分解成孔劑。步驟540可包括使用氧化氣體或還原氣體。
在步驟540之一個實施例中,在執行步驟570前, 自孔隙612移除幾乎所有可分解成孔劑608。第6B圖圖示處理SiOXCY層或處理層605,該處理層形成於步驟540完成時。 在步驟540之一個實施例中,在成孔劑分解及成孔劑擴散製程期間並未交聯所形成層605,使得可實現完全清空孔隙612及實質上僅保留塊體層604。在另一實施例中,致孔層處理步驟540不僅清空成孔劑608之孔隙612,而且選擇性移除非-CH3表面末端基(non-CH3 surface terminating group),同時在該層內及層的表面上留下-CH3表面末端基完好無損。經由在交聯製程(例如,步驟570)前選擇性移除成孔劑材料之製程,可使得所形成的致孔層變得更加多孔。
在一個實例中,處理步驟540包括曝露於自由基步 驟542及/或曝露於電漿步驟544。使致孔層曝露於自由基及/ 或電漿中之步驟可引發分解及自沉積低k SiOXCY層移除致孔材料。可在無交聯下執行分解及移除製程,或引發塊體層604內所發現的矽原子與相鄰原子或分子之鍵結。據信,當並未同時發生交聯步驟時,可以更加理想的速率進行來自所形成層內孔隙中的致孔副產物之擴散。因此,如本文所描述,可在無交聯下引發來自所形成層中的成孔劑之分解及擴散的製程可比在交聯同時移除成孔劑的製程移除更大比例之致孔材料。處理步驟或步驟540可包括一個以上處理步驟,且可單獨執行每個步驟。
在一個實施例中,使含有成孔劑608的介電層曝露 於自由基及/或電漿中以在無交聯下清空孔隙612,隨後單獨交聯塊體層604。在另一實施例中,使含成孔劑層603曝露於自由基及/或電漿中選擇性移除了表面末端基-CH1、-CH2及其他烴基,同時並未移除-CH3表面末端基。申請人相信,所觀察到的k值減少及機械強度增加歸因於改良的清空成孔劑608之孔隙612的能力。清空孔隙612亦可造成最終SiOXCY層內的非-CH3碳基之水平減少。然而,可以許多不同方式解釋本文所描述之觀察結果,因此本文所選擇之理論及語言並不被視為限制性。
用於移除成孔劑608的氣體可包括氧化氣體,諸如 氧(O2)、臭氧(O3)、氧化亞氮(N2O)及水蒸氣(H2O),且可另外包括氫(H2)、甲烷(CH4)、二氧化碳(CO2),及惰性氣體,諸如氮(N2)、氬(Ar)及氦(He)。可以自由基、非自由基氣體混合物及電漿之組合輸送成孔劑移除氣體。步驟540期間的典型腔 室壓力處於0.01托至10托範圍內。步驟540期間的典型基板溫度可處於150℃至400℃範圍內。由RPS輸送至製程氣體的功率可處於3W與2000W之間的範圍內,該功率係用於增強步驟540中所執行之製程。RPS功率可取決於RPS硬體類型、RPS及腔室配置、輸送至基板表面的氣體之重組速率、惰性氣體(對於該等惰性氣體,自由基與非自由基氣體原子相同)流動及基板面積而不同。在一個實例中,步驟540包括2個製程步驟,諸如第一製程步驟,該第一製程步驟包括使氧與氬的90秒氣流穿過向流動氣體提供50W之RF功率的RPS,將氣流輸送至製程腔室之處理區域中,將該製程腔室維持在2托的製程壓力下,同時將基板固持件維持在300℃的溫度下。 隨後,第二步驟可包括使氦與氫的40秒氣流穿過向流動氣體提供50W之RF功率的RPS,將氣流輸送至製程腔室之處理區域中,將該製程腔室維持在2托的壓力下,同時亦藉由在處理腔室內輸送200W之RF功率而在處理區域內形成電漿。 或者,在一個實施例中,使用低水平之UV輔助低k層之表面上的氣體自由基形成。
當在PECVD腔室中、在專用孔隙形成腔室中或在交 聯腔室(諸如由應用材料公司製造的NanocureTM 3腔室)中安置層605時,可對層605執行步驟540。在一個實施例中,在步驟520後原位執行步驟540。
接著,在步驟570處,在塊體層604上執行交聯製 程。在一個實例中,步驟570可包括退火步驟572及/或UV曝露步驟574。在一個實施例中,在已自該層選擇性移除成孔 劑608後,利用退火步驟及UV曝露步驟交聯塊體層604。在步驟570中,由重複處理塊體層614中所發現的Si-O區段形成Si-O-Si鏈。普遍認為,本文所描述之製程將傾向於最小化Si-C鍵之形成,本文所描述之製程期間的不當成孔劑材料及其他烴材料之移除。在一些情況中,可同時形成Si-CH3鍵(及Si鍵結至其他原子或基團)。據信,由於在執行步驟540後的處理塊體層614中所發現之低水平成孔劑材料將造成該層內的改良交聯,從而形成更低k的材料,且該材料亦機械更強。處理塊體層614通常亦將包括具有更少鍵結至非-CH3基材料之矽原子的層。可在單腔室(或串聯腔室)製程中執行交聯。技術中已知的用於交聯之硬體的一個實例為應用材料公司之NanocureTM 3腔室。交聯製程可類似於Black Diamond 2與3TM薄膜的習知固化製程。
第6C圖描述具有內部形成有複數個孔隙612之處理 塊體層614的基板602。步驟570中所執行之交聯處理產生處理塊體層614,據信該處理塊體層與習知固化薄膜相比具有改良的機械強度。一些交聯處理為技術中習知,諸如在應用材料公司之NanocureTM 3腔室中使用UV與退火之組合以便交聯及固化Black Diamond 3TM薄膜。
步驟580係可選冷卻步驟,其中在自處理系統運輸 前冷卻基板。在一個實施例中,藉由使用經配置用於PECVD處理、曝露於自由基中及固化的處理系統原位執行製程序列500。
在各種實驗中,使用製程序列500(其中在交聯前 移除成孔劑)形成低k層,該低k層具有與同時執行成孔劑移除及交聯之製程相比更低的k及增加的機械強度兩者。在一個實例中,Black Diamond®薄膜展示出介電常數k減少0.05及楊氏模數升高20%。針對7個其他評估類型SiOXCY薄膜觀察到類似改良的薄膜特徵。
低k介電層可超出移除厚度,或可藉由諸如製程步 驟540之製程移除致孔材料所穿過的厚度。移除厚度可取決於諸如介電塊體材料性質、孔隙率、致孔材料性質及製程步驟540中的製程條件之因素。低k介電層通常為200nm至1000nm厚度,而到目前為止所量測之移除厚度處於40nm至150nm範圍內。因此,可能使用製程序列500所沉積之厚層將在大於移除深度的深度處含有成孔劑。然而,藉由自薄子層之序列單獨沉積及移除成孔劑,該等子層厚度小於或等於移除厚度,可形成厚的無成孔劑低k層。
第7圖圖示藉由形成多個部分層或子層形成低k SiOXCY層之多步驟製程序列700。在製程序列700中,可藉由使用步驟710預處理基板,該步驟可類似於上文所描述之步驟510。可在步驟720中沉積含有成孔劑的SiOXCY之部分層,該步驟可類似於上文所描述之步驟520,使用步驟520沉積含有成孔劑的SiOXCY層之完整層。隨後可在步驟740中處理所形成的部分層以移除所形成成孔劑,該步驟740可類似於上文所描述之步驟540,係藉由使沉積薄膜曝露於自由基中(步驟542)及/或使該層曝露於電漿中(步驟544)實現。 若在步驟750中判定所形成層為理想厚度,則在步驟770中 處理整個層,該步驟可類似於上文所描述之步驟570。然而,若該層並非為所需厚度,則重複製程步驟720-750,其中沉積及處理另一部分層以移除成孔劑608,及重複步驟750,如第7圖所示。
可使用製程序列700來實現更低介電常數k,其中 自由基及電漿主動轟擊物質進入沉積薄膜中的擴散長度比所需薄膜厚度(例如,完整低k薄膜層厚度)更短及/或致孔副產物之擴散長度比所需薄膜層之厚度更短。藉由沉積及處理具有比完整所需厚度更小厚度的子層,可自該等子層之各者移除原沉積薄膜(例如,沉積層603)中所發現之更大比例的成孔劑材料。在一個實驗中,申請人能夠自頂部500埃的層移除實質百分比之成孔劑副產物,但在沉積層中更深處移除的則較少。因此,在製程序列700之一個實例中,在執行交聯步驟770前,藉由沉積及處理20個子層且每個子層具有500厚度來形成1pm低k介電層。在一較佳實施例中,在單個PECVD腔室中執行沉積步驟720及處理步驟740以便獲得更高處理產量。冷卻步驟780類似於上文所描述之冷卻步驟580。
第8圖圖示使用部分層或子層沉積低k介電層之製 程序列800。在製程序列800中,在步驟810中預處理基板,該步驟類似於上文所描述之步驟510及步驟710。接著,在步驟820中,沉積部分層(在描述上類似於步驟720),隨後處理沉積層(步驟840,該步驟在描述上類似於步驟540)以移除成孔劑,及在步驟870(在描述上類似於上文所描述之步驟 570)中交聯該層。重複步驟820-840-870,直至沉積完整層。 若在步驟850中判定該層為理想厚度,則不重複步驟820-840-870。隨後可執行可選冷卻步驟880,該步驟在描述上類似於步驟580。製程序列700及800之間的不同在於交聯步驟之時序。在製程序列700中,僅針對完整層執行交聯步驟770一次。在製程序列800中,在移除成孔劑步驟後,針對每個部分層執行交聯,使得將下一部分層(或子層)沉積在含有低成孔劑已交聯之子層上。製程序列800與製程序列700相比的一個優勢在於相繼沉積、處理以移除成孔劑及交聯產生子層,在處理期間來自後續子層的成孔劑副產物可不容易擴散到該等子層中。因此,製程序列800可產生更低k、更高強度的層。用於執行製程序列800的一個較佳實施例為PECVD腔室,該腔室經配置用於移除成孔劑及交聯兩者,此配置可提高製程產量。
儘管已圖示及詳細描述併入本發明之教示的眾多實 施例,但是熟習此項技術者可輕易設計出許多其他不同實施例,該等其他實施例仍併入該等教示。
500‧‧‧製程序列
510~580‧‧‧步驟

Claims (18)

  1. 一種用於形成一低k層的方法,該方法包含以下步驟:形成一氧碳化矽層,該層包括一塊體材料及一成孔劑材料;使該所形成的氧碳化矽層曝露於一氣體中,該氣體包含自由基;以及在使該所形成的氧碳化矽層曝露於該等自由基中之後,使該所形成的氧碳化矽層曝露於一定量的能量中,其中該量的能量固化該所形成的氧碳化矽層。
  2. 如請求項1所述之方法,其中該形成氧碳化矽層之步驟包含以下步驟:使用一化學氣相沉積製程沉積該氧碳化矽層。
  3. 如請求項1所述之方法,其中該使該氧碳化矽層曝露於一氣體中之步驟進一步包含以下步驟:使一氣體混合物流動穿過一遠端電漿源,其中該氣體混合物包含一氣體,該氣體選自由以下組成之一群組中:氧(O2)、臭氧(O3)、氧化亞氮(N2O)、二氧化碳(CO2)、氦(He)、氮(N2)、氬(Ar)、氨(NH3)、氫(H2)、水蒸氣(H2O)及甲烷(CH4)。
  4. 如請求項3所述之方法,其中供應給該遠端電漿源的功率介於5W與3000W之間。
  5. 如請求項1所述之方法,其中該氣體包含選自由以下組成之一群組中的一氣體:氧(O2)、臭氧(O3)、氧化亞氮(N2O)、二氧化碳(CO2)、氦(He)、氮(N2)、氬(Ar)、氨(NH3)、氫(H2)、水蒸氣(H2O)及甲烷(CH4)。
  6. 如請求項1所述之方法,其中該使該所形成的氧碳化矽層曝露於該氣體中之步驟並未實質上引發該所形成的氧碳化矽層內矽原子之交聯。
  7. 如請求項1所述之方法,其中該使該所形成的氧碳化矽層曝露於該氣體中之步驟與該使該所形成的氧碳化矽層曝露於該量的能量中之步驟相比並未實質上引發該所形成的氧碳化矽層內矽原子之交聯。
  8. 如請求項1所述之方法,其中該使該所形成的氧碳化矽層曝露於該氣體中之步驟並未引發該氧碳化矽層中的該矽原子上所形成之CH3鍵之實質移除。
  9. 如請求項1所述之方法,其中該使該所形成的氧碳化矽層曝露於該量的能量中之步驟進一步包含以下步驟:使該氧碳化矽層曝露於紫外線輻射中,使該氧碳化矽層曝露於可見光中,加熱該氧碳化矽層,或使該氧碳化矽層曝露於電子轟擊中。
  10. 如請求項9所述之方法,其中該使該所形成的氧碳化矽層曝露於該量的能量中之步驟引發該層之矽原子與相鄰氧原子之交聯以形成Si-O-Si鍵。
  11. 一種用於形成一低k層的方法,該方法包含以下步驟:形成一第一氧碳化矽層,該層包含一塊體材料及一成孔劑材料;使該所形成的第一氧碳化矽層曝露於一第一氣體中,該第一氣體包含自由基;形成一第二氧碳化矽層,該層包含該第一氧碳化矽層上的該塊體材料及該成孔劑材料;使該所形成的第二氧碳化矽層曝露於一第二氣體中,該第二氣體包含自由基;以及使該所形成的第一氧碳化矽層及第二氧碳化矽層曝露於一定量的能量中,其中該量的能量固化該所形成的第一氧碳化矽層及第二氧碳化矽層。
  12. 如請求項11所述之方法,其中該第一氣體及該第二氣體各自包含選自由以下組成之一群組中的一氣體:氧(O2)、臭氧(O3)、氧化亞氮(N2O)、二氧化碳(CO2)、氦(He)、氮(N2)、氬(Ar)、氨(NH3)、氫(H2)、水蒸氣(H2O)及甲烷(CH4)。
  13. 如請求項11所述之方法,其中該等形成該第一氧碳化矽層、使該所形成的第一氧碳化矽層曝露於該第一氣體中、形成該第二氧碳化矽層及使該所形成的第二氧碳化矽層曝露於該第二氣體中之步驟全部在一化學氣相沉積腔室內執行。
  14. 如請求項11所述之方法,其中該方法產生一表面分子終止層,該層包含-CH3分子。
  15. 一種用於形成一低k層的方法,該方法包含以下步驟:形成一第一氧碳化矽層,該層包含一塊體材料及一成孔劑材料;使該所形成的第一氧碳化矽層曝露於一第一氣體中,該第一氣體包含自由基;在使該所形成的第一氧碳化矽層曝露於該等自由基中之後,使該所形成的第一氧碳化矽層曝露於一定量的能量中,其中該量的能量固化該所形成的第一氧碳化矽層;在使該所形成的第一氧碳化矽層曝露於該量的能量中之後,形成一第二氧碳化矽層,該層包含該第一氧碳化矽層上的該塊體材料及該成孔劑材料;使該所形成的第二氧碳化矽層曝露於一第二氣體中,該第二氣體包含自由基;以及使該所形成的第二氧碳化矽層曝露於一定量的能量中,其中該量的能量固化所形成的第二氧碳化矽層。
  16. 如請求項15所述之方法,其中該第一氣體及該第二氣體各自包含選自由以下組成之一群組中的一氣體:氧(O2)、臭氧(O3)、氧化亞氮(N2O)、二氧化碳(CO2)、氦(He)、氮(N2)、氬(Ar)、氨(NH3)、氫(H2)、水蒸氣(H2O)及甲烷(CH4)。
  17. 如請求項15所述之方法,其中該等形成該第一氧碳化矽層、使該所形成的第一氧碳化矽層曝露於該第一氣體中、形成該第二氧碳化矽層及使該所形成的第二氧碳化矽層曝露於該第二氣體中之步驟全部在一化學氣相沉積腔室內執行。
  18. 如請求項15所述之方法,其中該方法產生一表面分子終止層,該層包含-CH3分子。
TW104104587A 2014-02-18 2015-02-11 介電常數減少且機械性質強化的低k介電層 TW201535513A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461941400P 2014-02-18 2014-02-18

Publications (1)

Publication Number Publication Date
TW201535513A true TW201535513A (zh) 2015-09-16

Family

ID=53797582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104104587A TW201535513A (zh) 2014-02-18 2015-02-11 介電常數減少且機械性質強化的低k介電層

Country Status (4)

Country Link
US (1) US9850574B2 (zh)
KR (1) KR102322809B1 (zh)
TW (1) TW201535513A (zh)
WO (1) WO2015126775A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
KR102245160B1 (ko) * 2015-07-31 2021-04-26 버슘머트리얼즈 유에스, 엘엘씨 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102416568B1 (ko) * 2017-08-14 2022-07-04 삼성디스플레이 주식회사 금속 산화막 형성 방법 및 플라즈마 강화 화학기상증착 장치
US10950433B2 (en) * 2017-11-18 2021-03-16 Applied Materials, Inc. Methods for enhancing selectivity in SAM-based selective deposition
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積***

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051283A (en) 1998-01-13 2000-04-18 International Business Machines Corp. Microwave annealing
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8173537B1 (en) * 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR20140003495A (ko) * 2010-12-20 2014-01-09 어플라이드 머티어리얼스, 인코포레이티드 집적 손상 내성을 개선하기 위한 인-시튜 저-k 캡핑
US8475945B2 (en) * 2011-06-23 2013-07-02 United Technologies Corporation Composite article including silicon oxycarbide layer
US8551892B2 (en) * 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
KR20140110080A (ko) 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films

Also Published As

Publication number Publication date
WO2015126775A1 (en) 2015-08-27
US9850574B2 (en) 2017-12-26
KR20160123357A (ko) 2016-10-25
US20150232992A1 (en) 2015-08-20
KR102322809B1 (ko) 2021-11-04

Similar Documents

Publication Publication Date Title
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
CN105917440B (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
TWI505361B (zh) 處理含矽與氧層的方法
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US8466067B2 (en) Post-planarization densification
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
US20130288485A1 (en) Densification for flowable films
KR20180054436A (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
US20120269989A1 (en) Low temperature silicon oxide conversion
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
US9570287B2 (en) Flowable film curing penetration depth improvement and stress tuning
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
WO2011059675A2 (en) Curing non-carbon flowable cvd films
TW201515103A (zh) 用於穩定界面後蝕刻以盡量減少下一處理步驟前佇列時間問題的方法
TW201528370A (zh) 用於增加硬度及模數的低k膜之以二氧化碳及一氧化碳介入的固化
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material