KR20150067738A - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR20150067738A
KR20150067738A KR1020140175667A KR20140175667A KR20150067738A KR 20150067738 A KR20150067738 A KR 20150067738A KR 1020140175667 A KR1020140175667 A KR 1020140175667A KR 20140175667 A KR20140175667 A KR 20140175667A KR 20150067738 A KR20150067738 A KR 20150067738A
Authority
KR
South Korea
Prior art keywords
etching
gas
treatment
film
bias
Prior art date
Application number
KR1020140175667A
Other languages
English (en)
Other versions
KR102304163B1 (ko
Inventor
료이치 요시다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150067738A publication Critical patent/KR20150067738A/ko
Application granted granted Critical
Publication of KR102304163B1 publication Critical patent/KR102304163B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 패턴의 CD의 수축 비를 제어한다.
기판상의 에칭 대상막을 에칭 처리하는 방법으로서, 할로겐 함유 가스와 수소 가스와 불활성 가스와 산소 가스를 포함하는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고, 상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는 스텝을 포함하는 에칭 방법이 제공된다.

Description

에칭 방법{ETCHING METHOD}
본 발명은, 에칭 방법에 관한 것이다.
패턴의 미세화에 따라, 에칭에 의해 형성되는 패턴의 치수의 정밀도를 높이는 것이 중요하게 되고 있다. 특히, 에칭된 패턴의 종횡비가 커지면, 형성된 패턴의 치수의 정밀도가 악화된다. 이 때문에, 특허 문헌 1에서는, 가공 패턴의 종횡비가 증대했을 때에 형성된 패턴의 치수의 정밀도가 악화되어, 에칭 속도가 저하하는 마이크로 로딩(micro loading)이라 불리는 현상을 개선하기 위한 기술이 개시되어 있다.
또한, 패턴의 미세화에 따라, 에칭 패턴의 CD(Critical Dimention)를 수축(shrink)(축소)시켜, 에칭하는 패턴의 치수를 작게 하는 기술도 제안되어 있다. 차세대에서는, 미세화가 더 진행되기 때문에, CD를 수축시킬 때의 패턴의 세로와 가로의 CD의 수축량의 제어가 매우 중요하게 된다. 통상, 패턴의 세로와 가로의 CD의 수축 비(shrink ratio)는 1 대 1로 제어하는 것이 바람직하다.
(선행 기술 문헌)
(특허 문헌)
특허 문헌 1 : 일본 특표 제2003-506866호 공보
그러나, 통상, 예를 들면 타원 형상의 홀의 패턴인 경우, 긴 직경의 수축량이 짧은 직경의 수축량에 비해 크고, 긴 직경과 짧은 직경의 CD의 수축 비를 1 대 1로 제어하는 것은 어렵다.
상기 과제에 대해서, 일 측면에서는 에칭 패턴의 CD의 수축 비를 제어하는 것이 가능한 에칭 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 일 형태에 의하면,
기판상의 에칭 대상막을 에칭 처리하는 방법으로서,
할로겐 함유 가스와 수소 가스와 불활성 가스와 산소 가스를 포함하는 트리트먼트(treatment) 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고,
상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는
스텝을 포함하는 에칭 방법이 제공된다.
일 형태에 의하면, 에칭 패턴의 CD의 수축 비를 제어할 수 있다.
도 1은 일 실시 형태에 따른 에칭 장치의 전체 구성의 일례를 나타낸 도면.
도 2는 일 실시 형태에 따른 에칭 대상막의 일례를 나타낸 도면.
도 3은 일 실시 형태에 따른 에칭 방법의 일례를 나타낸 플로우차트.
도 4는 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 5는 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 6은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 7은 일 실시 형태에 따른 마스크 트리트먼트 시간과 CD 바이어스의 관계를 나타낸 도면.
도 8은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 9는 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 10은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 11은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 12는 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 13은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 14는 일 실시 형태에 따른 각 에칭 스텝의 CD 수축량의 일례를 나타낸 도면.
도 15는 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 16은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
도 17은 일 실시 형태에 따른 에칭 방법을 실행한 결과의 일례를 나타낸 도면.
이하, 본 발명을 실시하기 위한 형태에 대해 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는 동일한 부호를 부여함으로써 중복된 설명을 생략한다.
[에칭 장치의 전체 구성]
우선, 본 발명의 일 실시 형태에 따른 에칭 장치의 전체 구성에 대해, 도 1을 참조하면서 설명한다. 도 1은, 일 실시 형태에 따른 에칭 장치의 전체 구성의 일례를 나타낸 도면이다.
본 실시 형태에 따른 에칭 장치는, 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 이루어지는 대략 원통 형상의 챔버(10)를 가지고 있다. 챔버(10)는 접지되어 있다. 챔버(10)의 바닥부에는, 세라믹스 등으로 이루어지는 절연판(12)을 거쳐서 원기둥 형상의 서셉터 지지대(14)가 배치되고, 이 서셉터 지지대(14)상에, 예를 들면 알루미늄으로 이루어지는 서셉터(16)가 마련되어 있다. 서셉터(16)는 하부 전극을 구성하고, 그 위에 기판의 일례인 반도체 웨이퍼 W(이하, 「웨이퍼 W」라고 함)가 탑재된다.
서셉터(16)의 상면에는, 웨이퍼 W를 정전력으로 흡착 유지하는 정전 척(18)이 마련되어 있다. 이 정전 척(18)은, 도전막으로 이루어지는 전극(20)을 한 쌍의 절연층 또는 절연 시트 사이에 개재한 구조를 가지는 것으로, 전극(20)에는 직류 전원(22)이 접속되어 있다. 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 웨이퍼 W가 정전 척(18)에 흡착 유지된다. 정전 척(18)의 주위에서 서셉터(16)의 상면에는, 에칭의 균일성을 향상시키기 위한 포커스 링(24)이 배치되어 있다.
서셉터 지지대(14)의 내부에는, 예를 들면 원주상에 냉매실(28)이 마련되어 있다. 이 냉매실에는 외부에 마련된 도시하지 않은 칠러(chiller) 유닛으로부터 배관을 거쳐서 소정 온도의 냉매, 예를 들면 냉각수가 순환 공급되고, 냉매의 온도에 의해 서셉터상의 웨이퍼 W의 처리 온도를 제어할 수 있다. 또한, 도시하지 않은 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스가 가스 공급 라인(32)을 거쳐서 정전 척(18)의 상면과 웨이퍼 W의 이면 사이에 공급된다.
하부 전극인 서셉터(16)의 상방에는, 서셉터(16)와 대향하도록 평행하게 상부 전극(34)이 마련되어 있다. 그리고, 상부 전극(34) 및 하부 전극(서셉터(16)) 사이의 공간이 플라즈마 생성 공간으로 된다. 상부 전극(34)은, 하부 전극(서셉터(16))상의 웨이퍼 W와 대향하여 플라즈마 생성 공간과 접하는 면, 즉, 대향면을 형성한다.
상부 전극(34)은 절연성 차폐 부재(42)를 거쳐서, 챔버(10)의 상부에 지지되어 있다. 상부 전극(34)은 전극판(36)과, 전극판(36)을 착탈 자유롭게 지지하는 전극 지지체(38)로 형성되어 있다. 전극판(36)은, 서셉터(16)와의 대향면을 구성하고, 또한 다수의 가스구멍(37)을 가진다. 전극판(36)은, 주울(Joule)열이 적은 저저항의 도전체 또는 반도체로 형성되는 것이 바람직하다. 전극 지지체(38)는, 도전성 재료, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 이루어지고, 수냉 구조를 가진다. 전극 지지체(38)의 내부에는 가스 확산실(40)이 마련되어, 이 가스 확산실(40)로부터는 가스구멍(37)에 연통하는 다수의 가스 통류 구멍(41)이 하부로 연장되어 있다.
전극 지지체(38)에는 가스 확산실(40)에 처리 가스를 유도하는 가스 도입구(62)가 형성되어 있고, 이 가스 도입구(62)에는 가스 공급관(64)이 접속되고, 가스 공급관(64)에는 처리 가스 공급원(66)이 접속되어 있다. 처리 가스 공급원(66)으로부터 출력된 에칭 가스는 가스 공급관(64)으로부터 가스 확산실(40)에 도달하고, 가스 통류 구멍(41) 및 가스구멍(37)을 거쳐서 샤워 형상으로 플라즈마 생성 공간에 도입된다. 즉, 상부 전극(34)은 처리 가스를 공급하기 위한 샤워 헤드로서 기능한다.
하부 전극인 서셉터(16)에는, 제 1 고주파 전원(89)으로부터 정합기(87)를 거쳐서 제 1 고주파(RF) 전력이 인가된다. 또한, 서셉터(16)에는, 제 2 고주파 전원(90)으로부터 정합기(88)를 거쳐서 제 2 고주파 전력이 인가된다. 또한, 상부 전극(34)에는, 제 3 고주파 전원(224)으로부터 정합기(225)를 거쳐서 제 3 고주파 전력이 인가된다. 또한, 본 실시 형태의 에칭 장치는, 플라즈마 형성용의 고주파 전력을 출력하는 고주파 전원이 제 3 고주파 전원인 것이 바람직하고, 이온 흡인용의 고주파 전력을 출력하는 고주파 전원이 제 1 고주파 전원 및 제 2 고주파 전원인 것이 바람직하다.
가변 직류 전원(50)은, 상기 정합기(225)를 거쳐서 상부 전극(34)에 접속되어 있고, 온· 오프 스위치(52)에 의해 급전의 온·오프가 가능하게 되어 있다. 가변 직류 전원(50)의 극성 및 전류·전압 및 온·오프 스위치(52)의 온·오프는 콘트롤러(51)에 의해 제어되도록 되어 있다.
챔버(10)의 바닥부에는, 배기관을 거쳐서 배기 장치(84)가 접속되어 있다. 배기 장치(84)는 터보 분자 펌프 등의 진공 펌프를 가지고 있고, 챔버(10)내를 소망하는 진공도까지 감압 가능하게 되어 있다. 또한, 챔버(10)의 측벽에는 웨이퍼 W의 반입출구(85)가 마련되어 있고, 이 반입출구(85)는 게이트 밸브(86)에 의해 개폐 가능하게 되어 있다.
이러한 구성의 에칭 장치의 각 구성부는 제어부(100)에 의해 제어된다. 제어부(100)은, CPU(101)(Central Processing Unit), ROM(102)(Read Only Memory), RAM(103)(Random Access Memory) 등을 가진다. CPU(101)는 ROM(102) 등의 기억 영역에 저장된 각종 레시피에 따라 에칭 처리를 실행한다. 레시피에는, 프로세스 조건에 대한 장치의 제어 정보인 프로세스 시간, 처리 실내 온도(상부 전극 온도, 처리실의 측벽 온도, ESC 온도 등), 압력(가스의 배기), 고주파 전력이나 전압, 각종 프로세스 가스 유량, 전열 가스 유량 등이 기재되어 있다.
또한, 제어부(100)의 기능은, 소프트웨어를 이용하여 동작함으로써 실현되어도 좋고, 하드웨어를 이용하여 동작함으로써 실현되어도 좋다.
이상, 본 실시 형태에 따른 에칭 장치의 전체 구성의 일례에 대해 설명했다. 이러한 구성의 에칭 장치에 있어서 에칭 처리를 행할 때에는, 우선, 게이트 밸브(86)를 열린 상태로 하고, 반입출구(85)를 거쳐서 에칭 대상인 웨이퍼 W를 챔버(10)내에 반입하여, 서셉터(16)상에 탑재한다. 직류 전원(22)으로부터 직류 전압을 정전 척(18)의 전극(20)에 인가하고, 웨이퍼 W를 서셉터(16)에 정전 흡착한다.
그리고, 에칭을 위한 처리 가스는 처리 가스 공급원(66)으로부터 소정의 유량으로 가스 확산실(40)에 공급되고, 가스 통류 구멍(41) 및 가스구멍(37)을 거쳐서 챔버(10)내에 공급된다. 또한, 챔버(10)내는 배기 장치(84)에 의해 배기되고, 챔버(10)내의 압력은, 예를 들면 0.1∼150Pa의 범위내의 설정값으로 제어된다.
이와 같이 챔버(10)내에 에칭 가스를 도입한 상태에서, 플라즈마 생성용의 고주파 전력을 소정의 파워로 상부 전극(34)에 인가함과 아울러, 이온 흡인용의 고주파를 소정의 파워로 하부 전극인 서셉터(16)에 인가한다. 이에 의해, 에칭 가스로부터 플라즈마가 생성되고, 플라즈마에 의해 웨이퍼 W에 에칭 처리가 실시된다.
[에칭 방법]
다음에, 본 실시 형태에 따른 에칭 방법에 대해 설명한다. 또한, 본 실시 형태에 따른 에칭 방법을 이용하여 에칭되는 에칭 대상막의 일례를 도 2(a)에 나타낸다.
(에칭 대상막)
에칭 대상막은, 웨이퍼 W상에, 질화티탄(TiN)막(110), 산화실리콘막(112), 폴리실리콘막(114), 유기막(116), 반사 방지막(Si-ARC)(118)의 순서로 형성되어 있다. 반사 방지막(118)상에는 패턴화된 마스크 PR이 형성되어 있다.
질화티탄막(110)은, 예를 들면 TiN 타겟을 사용한 스퍼터링에 의해 웨이퍼 W상에 퇴적된다. 산화실리콘막(112)은, 예를 들면 테트라에톡시실란(TEOS)을 원료로 한 플라즈마 CVD에 의해 질화티탄막(110)상에 퇴적된다. 폴리실리콘막(114)은, 플라즈마 CVD에 의해 산화실리콘막(112)상에 형성된다. 유기막(116)은 유기 재료를 주성분으로 하는 스핀-온 재료에 의해 폴리실리콘막(114)상에 형성된다.
유기막(116)의 상면에는 반사 방지막(118)과 포토레지스트막(미도시)이 순차적으로 형성되고, 포토레지스트막이 포토리소그래피 기술에 의해 패턴화됨으로써, 마스크 PR이 얻어진다. 마스크 PR은 한 방향으로 연장하는 라인 형상의 패턴을 가져도 좋고, 원 형상의 패턴을 가져도 좋다.
또한, 본 실시 형태에 따른 에칭 방법에 의해 에칭되는 대상막은, 상기에 나타낸 적층막에 한정되지 않고, 실리콘 함유막이면 좋다. 실리콘 함유막의 일례로서는, 산화규소, 질화규소, 폴리실리콘, 금속 규화물 및 단결정 실리콘 등이 있다. 또한, 웨이퍼 W상에는 금속 도전성막, 절연막, 반사 방지막, 확산막 등의 다른 재료막이 포함되어도 좋다.
(에칭 방법)
본 실시 형태에 따른 에칭 방법에서는, 도 3의 플로우차트에 나타낸 바와 같이, 에칭을 행하기 전에 마스크 PR의 트리트먼트가 행해진다. 즉, 브롬화수소 가스(HBr), 헬륨 가스(He), 산소 가스(O2), 이산화탄소 가스(CO2)가 챔버내에 공급된다. 그리고, 고주파 전력에 의해 생성된 플라즈마에 의해, 소정 시간(예를 들면, 5초, 7초, 10초 등) 마스크 PR이 트리트먼트된다(스텝 S10). 이에 의해, 마스크 PR을 트리밍(trimming)함과 아울러 레지스트 현상에 의한 찌꺼기를 제거한다. 이에 의하면, 마스크 PR의 트리트먼트에 의해, 마스크 PR의 테이퍼 형상을 수직 형상으로 정형할 수 있다. 이에 의해, 다음의 에칭에 있어서 CD 수축값의 종횡비의 제어성을 높일 수 있다.
또한, 브롬화수소 가스(HBr), 헬륨 가스(He), 산소 가스(O2), 이산화탄소 가스(CO2)의 혼합 가스는 트리트먼트 가스의 일례이다. 트리트먼트 가스는 이산화탄소 가스(CO2)를 포함하지 않아도 좋다. 또한, 트리트먼트 가스에는 불활성 가스가 포함될 필요가 있지만, 헬륨 가스(He)가 아니어도, 아르곤 가스(Ar) 등이라도 좋다. 즉, 트리트먼트 가스는 할로겐 함유 가스와 수소 가스와 불활성 가스와 산소 가스를 포함하는 가스이면 된다.
도 2(a)에 나타낸 바와 같이, 패턴화된 마스크 PR에 상기의 마스크 트리트먼트를 실시한 후, 도 2(b)∼도 2(d)에 나타나는 에칭이 행해진다. 다음에, 도 2(b) ∼도 2(d)에 나타나는 에칭에 대해, 도 3으로 돌아와 설명한다.
제 1 에칭 가스를 공급하여, 반사 방지막(118)을 에칭한다(스텝 S12). 이 도 2(b)에 나타낸 반사 방지막(118)을 에칭하는 공정을 제 1 에칭이라고 한다.
다음에, 제 2 에칭 가스를 공급하여, 유기막(116)을 에칭한다(스텝 S14). 이 도 2(c)에 나타낸 유기막(116)을 에칭하는 공정을 제 2 에칭이라고 한다.
다음에, 제 3 에칭 가스를 공급하여, 폴리실리콘막(114)의 일부를 에칭한다(스텝 S16). 이 도 2(d)에 나타낸 폴리실리콘막(114)의 일부를 에칭하는 공정을 제 3 에칭이라고 한다.
다음에, 남은 폴리실리콘막(114)을 에칭한 후(스텝 S18), 애싱을 실행하고(스텝 S20), 본 처리를 종료한다. 스텝 S18의 에칭 공정을 코어 에칭이라고 한다.
상기 제 1∼제 3 에칭에 대해서는, 에칭중에 CD를 수축시킴으로써, 미세 가공을 용이하게 한다. 여기서, CD의 수축 비는 CD값의 세로 및 가로의 수축 비가 1 대 1로 제어되는 것이 바람직하다. 본 실시 형태에 따른 에칭 방법에서는, 에칭 전에 마스크의 트리트먼트가 행해지고, 이에 의해, 마스크 형상이 조정된다. 이와 같이, 에칭 전에 마스크 형상을 조정하는 마스크 트리트먼트를 행함으로써, 에칭중의 CD의 수축 비의 개선이 용이하게 된다.
[에칭 결과]
(풀(full) 에칭 결과 1: 트리트먼트 시간 5초)
이하에서는, 본 실시 형태에 따른 에칭 방법을 실행한 결과 얻어지는 CD의 수축 비 등에 대해, 도 4∼도 6을 참조하면서 설명한다. 도 4∼도 6은, 제 1 에칭으로부터 애싱까지의 에칭 후(제 1 에칭으로부터 애싱까지의 에칭 공정을, 이하, 「풀 에칭」이라고도 함)의 CD 상태를 위로부터 나타낸 도면과 CD에 관한 수축 비 등의 수치를 나타낸다.
<프로세스 조건>
여기서, 프로세스 조건을 이하에 나타낸다.
(마스크 트리트먼트)
고주파(HF) 500W
고주파(LF) 75W
가스 HBr/He/O2/CO2=40∼70/140∼220/10∼30/20∼50sccm
실행 시간 5초
(제 1 에칭)
고주파(HF) 500W
고주파(LF) 100W
가스 CF4/CH4=160∼240/5∼20sccm
실행 시간 45초
(제 2 에칭)
고주파(HF) 200W
고주파(LF) 100W
가스 HBr/He/O2/CO2=20∼40/160∼240/30∼50/60∼100sccm
실행 시간 1분 3초
(제 3 에칭)
고주파(HF) 650W
고주파(LF) 100W
가스 CF4=160∼240sccm
실행 시간 23초
(제 4 에칭(코어 에칭))
고주파(HF) 300W
고주파(LF) 90W
가스 HBr/He/O2=400∼600/350∼530/1∼10sccm
실행 시간 60초
(애싱 공정 1)
고주파(HF) 600W
고주파(LF) 0W
가스 CF4/H2=80∼120/160∼240sccm
실행 시간 60초
(애싱 공정 2)
고주파(HF) 600W
고주파(LF) 50W
가스 O2=280∼420sccm
실행 시간 60초
이상의 프로세스 조건에서 5초간의 마스크 트리트먼트 후, 풀 에칭이 행해진 결과, 도 4에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 14.3nm, 타원의 홀 패턴의 CD 바이어스는 긴 직경측(도면의 타원의 긴 직경측)이 17.4nm, 짧은 직경측(도면의 타원의 짧은 직경측)이 14.0nm이었다. 여기서, CD 바이어스는, 에칭 전의 CD의 초기값과 에칭 후의 CD값의 차분이다. 또한, CD값은 마스크의 높이 방향의 중앙의 라인 폭(라인 CD의 경우), 긴 직경 및 짧은 직경(홀 CD의 경우)을 측정하고 있다. 홀 CD(짧은 직경) 상태를 나타낸 도면은, 홀 CD(긴 직경) 상태를 나타낸 도면을 90도 회전시킨 도면이다.
이 결과, 도 4에 나타낸 바와 같이, CD 바이어스(Max-Min)는 3.4nm로 되었다. CD 바이어스(Max-Min)는 CD 바이어스의 최대값과 최소값의 차분이며, 홀 CD 뿐만이 아니라, 라인 CD의 CD 바이어스도 포함하는 결과를 나타낸다. 여기에서는 홀 CD의 긴 직경의 CD 바이어스로부터 홀 CD의 짧은 직경의 CD 바이어스를 감산한 결과가, CD 바이어스(Max-Min)의 값으로서 나타내어져 있다.
CD의 수축 비는 홀 CD의 긴 직경의 경우가 1.22, 홀 CD의 짧은 직경의 경우가 0.98이었다. 1.22는 홀 CD의 긴 직경의 최대값과 최소값의 차분이며, 0.98은, 홀 CD의 짧은 직경의 최대값과 최소값의 차분이다.
이상의 결과를 고찰한다. CD의 수축 비는 1에 가능한 한 가까운 값인 것이 바람직하다. 또한, CD 바이어스(Max-Min)는 가능한 한 작은 값인 것이 바람직하다. 이에 의하면, 에칭 처리(CD의 수축 처리를 포함함) 전에 마스크 형상을 조정하는 마스크 트리트먼트를 5초간 행함으로써, CD의 수축 비가 홀 CD의 긴 직경 및 짧은 직경에 있어서 1에 가깝게 되어, CD의 수축 비를 개선할 수 있는 것을 알 수 있다.
(풀 에칭 결과 2: 트리트먼트 시간 7초)
다음에, 풀 에칭 결과 1과 동일한 프로세스 조건에서 트리트먼트 시간을 5초부터 7초간으로 변경하여 마스크 트리트먼트한 후, 풀 에칭을 실행한 결과 2에 대해, 도 5를 참조하면서 설명한다.
도 5에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 10.4nm, 홀 패턴의 CD 바이어스(긴 직경)가 9.4nm, CD 바이어스(짧은 직경)가 11.3nm이었다. CD 바이어스(Max-Min)는 1.9nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 0.90, 홀 CD의 짧은 직경의 경우가 1.08이었다. 이에 의하면, 풀 에칭 처리(CD의 수축 처리를 포함함) 전에 마스크 형상을 조정하는 마스크 트리트먼트를 7초간 행함으로써, CD의 수축 비가 홀 CD의 긴 직경 및 짧은 직경에 있어서 1에 가깝게 되어, CD의 수축 비를 개선할 수 있는 것을 알 수 있다. 또한, 결과 2로부터, 마스크 트리트먼트 시간을 5초→7초로 함으로써, 긴 직경의 수축 비와 짧은 직경의 수축 비에 역전이 생긴 것을 알 수 있다. 또한, CD 바이어스(Max-Min)에 대해서도, 마스크 트리트먼트 시간을 5초→7초로 함으로써, 보다 작은 값으로 되어 편차가 적고 제어성이 높아진 것을 알 수 있다.
(풀 에칭 결과 3: 트리트먼트 시간 10초)
다음에, 풀 에칭 결과 1과 동일한 프로세스 조건에서 트리트먼트 시간을 7초부터 10초간으로 변경하여 마스크 트리트먼트한 후, 풀 에칭을 실행한 결과 3에 대해, 도 6을 참조하면서 설명한다.
도 6에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 7.3nm, 홀 패턴의 CD 바이어스(긴 직경)가 5.7nm, CD 바이어스(짧은 직경)가 8.6nm이었다. CD 바이어스(Max-Min)는 2.9nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 0.79, 홀 CD의 짧은 직경의 경우가 1.18이었다. 결과 3으로부터, 마스크 트리트먼트 시간을 7초→10초로 함으로써, CD의 수축 비가 홀 CD의 긴 직경 및 짧은 직경에 있어서 CD의 수축 비가 악화된 것을 알 수 있다.
이상으로부터, CD의 수축 비를 개선하기 위해서는, 마스크 트리트먼트 시간을 적절히 제어하는 것이 중요하다고 하는 것을 알 수 있다. 도 7에는 마스크 트리트먼트 시간에 대한 CD 바이어스가, 라인 CD, 홀 CD(긴 직경), 홀 CD(짧은 직경)에 대해 각각 도시되어 있다. 도 7(a)은, 풀 에칭(제 1∼제 3 에칭) 후의 CD 바이어스의 값을 나타낸다. 이에 의하면, 마스크 트리트먼트 시간에 의존하여, 긴 직경의 수축 비와 짧은 직경의 수축 비에 역전이 생기는 포인트가 있는 것을 알 수 있다. 즉, 마스크 트리트먼트 시간에 의존하여, 긴 직경의 수축 비와 짧은 직경의 수축 비의 상대적인 제어를 행할 수 있는 것을 알 수 있다.
(제 1 에칭 결과 1: 트리트먼트 시간 5초)
다음에, 풀 에칭 결과 1로 나타낸 프로세스 조건과 동일한 마스크 트리트먼트 및 제 1 에칭의 프로세스 조건 하에서 5초간의 마스크 트리트먼트를 실행한 후, 제 1 에칭을 실행한 결과 1에 대해, 도 8을 참조하면서 설명한다.
도 8에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 29.2nm, 홀 패턴의 CD 바이어스(긴 직경)가 30.8nm, CD 바이어스(짧은 직경)가 27.0nm이었다. CD 바이어스(Max-Min)는 3.8nm로 되었다.
또한, CD의 수축 비는 홀 CD의 긴 직경의 경우가 1.05, 홀 CD의 짧은 직경의 경우가 0.92이었다. 이에 의하면, 제 1 에칭 처리(CD의 수축 처리를 포함함) 전에 마스크 형상을 조정하는 마스크 트리트먼트를 5초간 행함으로써, CD의 수축 비가 홀 CD의 긴 직경 및 짧은 직경에 있어서 1에 가깝게 되어, 제 1 에칭 처리 후의 마스크 트리트먼트에 의한 CD의 수축 비에 이미 개선 효과를 볼 수 있었다.
(제 1 에칭 결과 2: 트리트먼트 시간 7초)
다음에, 풀 에칭 결과 1(제 1 에칭 결과 1)과 동일한 프로세스 조건에서 트리트먼트 시간을 5초부터 7초로 변경하여 마스크 트리트먼트를 실행한 후, 제 1 에칭을 실행한 결과 2에 대해, 도 9를 참조하면서 설명한다.
도 9에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 21.6nm, 홀 패턴의 CD 바이어스(긴 직경)가 19.5nm, CD 바이어스(짧은 직경)가 21.2nm이었다. CD 바이어스(Max-Min)는 2.1nm로 되었다.
또한, CD의 수축 비는 홀 CD의 긴 직경의 경우가 0.90, 홀 CD의 짧은 직경의 경우가 0.98이었다. 이에 의하면, 제 1 에칭 처리(CD의 수축 처리를 포함함) 전에 마스크 형상을 조정하는 마스크 트리트먼트를 7초간 행함으로써, CD의 수축 비가 홀 CD의 긴 직경 및 짧은 직경에 있어서 1에 가깝게 되어, 제 1 에칭 처리 후의 CD의 수축 비에 있어서 이미 개선 효과를 볼 수 있었다. 또한, 결과 2로부터, 마스크 트리트먼트 시간을 5초→7초로 함으로써, 긴 직경의 수축 비와 짧은 직경의 수축 비에 역전이 생긴 것을 알 수 있다.
(제 1 에칭 결과 3: 트리트먼트 시간 10초)
다음에, 10초간의 마스크 트리트먼트 후, 이하의 프로세스 조건에서 제 1 에칭을 실행한 결과 3에 대해, 도 10을 참조하면서 설명한다.
<프로세스 조건>
프로세스 조건을 이하에 나타낸다. 마스크 트리트먼트의 프로세스 조건은, 실행 시간이 10초인 것을 제외하고 풀 에칭 결과 1(제 1 에칭 결과 1)에 나타낸 프로세스 조건과 동일하기 때문에, 여기에서는 생략한다.
(제 1 에칭)
고주파(HF) 400W
고주파(LF) 100W
가스 CF4/CH4/O2=120∼200/5∼20/1∼10sccm
실행 시간 45초
트리트먼트 시간을 10초로 변경하여 마스크 트리트먼트를 실행한 후, 상기 프로세스 조건 하에서 제 1 에칭을 실행한 결과 3에 대해, 도 10을 참조하면서 설명한다.
도 10에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 13.6nm, 홀 패턴의 CD 바이어스(긴 직경)가 9.8nm, CD 바이어스(짧은 직경)가 12.9nm이었다. CD 바이어스(Max-Min)는 3.8nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 0.72, 홀 CD의 짧은 직경의 경우가 0.95이었다. 결과 3으로부터, 마스크 트리트먼트 시간을 7초→10초로 변경했을 경우, 프로세스 조건(RF, 가스종)을 변경해도, 마스크 트리트먼트 시간은 7초 CD 수축값의 결과에 비해 열화하는 결과로 되었다.
이상의 결과로부터, 도 7(a)의 풀 에칭(제 1∼제 3 에칭) 후의 CD 바이어스의 값과 마찬가지로, 도 7(b)의 제 1 에칭 후의 CD 바이어스의 값에는, 마스크 트리트먼트 시간에 의존하여, 긴 직경의 수축 비와 짧은 직경의 수축 비에 역전이 생기는 포인트가 있는 것을 알 수 있다. 즉, 제 1 에칭 후에 있어서도, 마스크 트리트먼트 시간에 의존하여, 긴 직경의 수축 비와 짧은 직경의 수축 비의 상대적인 제어를 행하는 효과를 얻을 수 있는 것을 알 수 있었다.
(마스크 트리트먼트 결과 1: 트리트먼트 시간 5초)
다음에, 풀 에칭 결과 1에서 나타낸 마스크 트리트먼트의 프로세스 조건과 동일한 조건에서 5초간의 마스크 트리트먼트를 실행한 결과 1에 대해, 도 11을 참조하면서 설명한다.
도 11에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 5.2nm, 홀 패턴의 CD 바이어스(긴 직경)가 8.1nm, CD 바이어스(짧은 직경)가 6.9nm이었다. CD 바이어스(Max-Min)는 2.9nm로 되었다.
또한, CD의 수축 비는 홀 CD의 긴 직경의 경우가 1.56, 홀 CD의 짧은 직경의 경우가 1.32이었다.
(마스크 트리트먼트 결과 2: 트리트먼트 시간 7초)
다음에, 풀 에칭 결과 1에서 나타낸 마스크 트리트먼트의 프로세스 조건과 동일한 조건에서 7초간의 마스크 트리트먼트를 실행한 결과 2에 대해, 도 12를 참조하면서 설명한다.
도 12에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 4.0nm, 홀 패턴의 CD 바이어스(긴 직경)가 4.1nm, CD 바이어스(짧은 직경)가 2.4nm이었다. CD 바이어스(Max-Min)는 1.7nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 1.04, 홀 CD의 짧은 직경의 경우가 0.60이었다. 이에 의하면, 마스크 트리트먼트 시간을 5초→7초로 함으로써, 긴 직경의 수축 비와 짧은 직경의 수축 비의 값이 작아진 것을 알 수 있다.
(마스크 트리트먼트 결과 3: 트리트먼트 시간 20초)
다음에, 풀 에칭 결과 1에서 나타낸 마스크 트리트먼트의 프로세스 조건과 동일한 조건에서 20초간의 마스크 트리트먼트를 실행한 결과, 마스크 패턴이 소실했다. 따라서, 마스크 트리트먼트의 실행 시간은, 20초 미만인 것이 바람직하다. 또한, 마스크 트리트먼트의 실행 시간은, 5초 이상인 경우, 양호한 마스크 패턴은 소실하지 않고. 양호한 CD 수축의 결과를 얻을 수 있었다. 따라서, 마스크 트리트먼트의 실행 시간은, 5초 이상인 것이 바람직하다.
(제 1 에칭 결과(가스 유량 변경): 트리트먼트 시간 10초)
다음에, 10초간의 마스크 트리트먼트 후, 이하의 프로세스 조건에서 제 1 에칭을 실행한 결과(가스 유량 변경)에 대해, 도 13을 참조하면서 설명한다. 도 12에 나타낸 긴 직경에 대한 짧은 직경의 수축 비는, 도 11에 나타낸 긴 직경에 대한 짧은 직경의 수축 비에 비해, 수축 비의 값이 작아져 있다. 따라서, 수축 비를 1에 접근시키기 위해서, 다음에 나타내는 프로세스에서는, 제 1 에칭의 에칭 가스에 포함되는 메탄가스(CH4)의 가스 유량을 많게 하여, 제 1 에칭중의 퇴적물의 양을 늘렸다.
<프로세스 조건>
프로세스 조건을 이하에 나타낸다. 마스크 트리트먼트의 프로세스 조건은, 실행 시간이 10초인 것을 제외하고 풀 에칭 결과 1에 나타낸 프로세스 조건과 동일하기 때문에, 여기에서는 생략한다.
(제 1 에칭)
고주파(HF) 500W
고주파(LF) 100W
가스 CF4/CH4=160∼240/10∼20sccm
실행 시간 45초
이 결과, 도 13에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 15.6nm, 홀 패턴의 CD 바이어스(긴 직경)가 16.0nm, CD 바이어스(짧은 직경)가 16.6nm이었다. CD 바이어스(Max-Min)는 1.0nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 1.02, 홀 CD의 짧은 직경의 경우가 1.07이었다. 이 결과로부터, 마스크 트리트먼트 시간을 10초로 하고, 제 1 에칭의 에칭 가스에 포함되는 CH4 가스의 가스 유량을 많게 하고, 제 1 에칭중의 퇴적물의 양을 늘리면, CD 수축값의 결과가 1에 가깝게 되어 양호한 결과를 나타내는 것을 알 수 있었다.
또한, 상기 프로세스 조건에서는, 가스종으로서 CF4 가스 및 CH4 가스만을 기재했지만, CF4 가스 및 CH4 가스를 포함하는 혼합 가스이면 좋다.
(스텝 바이 스텝 체크 결과)
다음에, 마스크 트리트먼트→제 1 에칭→제 2 에칭→제 3 에칭의 각 프로세스를 스텝마다 검증한다. 각 스텝의 프로세스 조건은, 풀 에칭 결과 1에 나타냈던 바와 같다.
도 14(a)에는, CD 수축의 누적값이 나타내어지고, 도 14(b)에는, CD 수축의 각 스텝에 있어서의 값이 나타내어져 있다. 이 결과에 의하면, CD 수축값이 많은 스텝은, 제 1 에칭 스텝 및 제 3 에칭 스텝이었다. 또한, 수축의 방향은, 제 1 에칭 스텝에서는, 플러스측, 즉, CD값이 수축하는 방향(수축 방향)인 것에 대해, 제 3 에칭 스텝에서는 마이너스측, 즉, CD값이 확장하는 방향(조정 방향)인 것을 알 수 있다.
도 14(a) 및 도 14(b)에 나타내는 수치는, 홀 CD의 긴 직경의 CD 바이어스값과 짧은 직경의 CD 바이어스값의 차분을 수치화한 것이다. 이에 의하면, 제 1 및 제 3 에칭 스텝에서는, 다른 스텝과 비교하여 긴 직경의 CD 바이어스값과 짧은 직경의 CD 바이어스값의 차분을 나타내는 수치가 크다. 따라서, 긴 직경 및 짧은 직경의 CD 변동차가 큰 스텝은, 제 1 및 제 3 에칭 스텝인 것을 알 수 있다. 그 이유로서 생각할 수 있는 것으로서는, 제 1 에칭 스텝의 경우, 제 1 에칭시에 공급되는 CH4 가스가 제 1 에칭중의 퇴적물의 양에 관여하여, 수축 비에 다소라도 영향을 주고 있을 가능성이 있다.
또한, 제 3 에칭 스텝의 경우, 에칭시에 폴리실리콘막(114)에 형성되는 오목부가, 수축 비를 악화시키는 방향으로 영향을 주고 있을 가능성이 있다.
또한, 제 3 에칭 후의 코어 에칭 스텝에서는, 수축 비 및 긴 직경의 CD 바이어스값과 짧은 직경의 CD 바이어스값의 차분의 변동값이, 다른 스텝과 완전히 반대인 거동을 나타내고 있다. 따라서, 제 3 에칭 후의 코어 에칭 스텝도 CD 수축 비의 개선에 이용할 수 있는 가능성이 있는 것을 알 수 있었다.
(가스종의 변경)
다음에, 마스크 트리트먼트 가스의 가스종을 변경한 결과에 대해, 도 15∼도 17을 참조하면서 설명한다.
(마스크 트리트먼트: 가스종 1)
도 15에서는, 이하의 프로세스 조건에 나타내는 트리트먼트 가스를 사용하여 에칭을 행했다.
<프로세스 조건>
고주파(HF) 300W
고주파(LF) 0W
가스 H2/Ar=80∼120/640∼960sccm
실행 시간 20초
도 15에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 6.0nm, 홀 패턴의 CD 바이어스(긴 직경)가 10.4nm, CD 바이어스(짧은 직경)가 6.2nm이었다. CD 바이어스(Max-Min)는 4.4nm로 되었다.
또한, CD의 수축 비는 홀 CD의 긴 직경의 경우가 1.73, 홀 CD의 짧은 직경의 경우가 1.02이었다. 이 결과로부터, 마스크 트리트먼트 시간을 20초로 하여, 트리트먼트 가스에 수소 가스(H2) 및 아르곤 가스(Ar)의 혼합 가스를 사용하여도, CD 수축값을 양호하게 제어할 수 있는 것을 알 수 있다.
(마스크 트리트먼트: 가스종 2)
도 16에서는, 이하의 프로세스 조건에 나타내는 트리트먼트 가스를 사용하여 에칭을 행했다.
<프로세스 조건>
고주파(HF) 800W
고주파(LF) 100W
가스 H2/N2=160∼240/80∼120sccm
실행 시간 5초
도 16에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 8.7nm, 홀 패턴의 CD 바이어스(긴 직경)가 15.2nm, CD 바이어스(짧은 직경)가 8.0nm이었다. CD 바이어스(Max-Min)는 7.2nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 1.75, 홀 CD의 짧은 직경의 경우가 0.92이었다. 이 결과로부터, 트리트먼트 가스에 수소 가스(H2) 및 질소 가스(N2)의 혼합 가스를 사용해도, CD 수축값을 양호하게 제어할 수 있는 것을 알 수 있다.
또한, 수소 가스 및 아르곤 가스, 또는 수소 가스 및 질소 가스 대신에, 수소 가스와 아르곤 가스, N2 이외의 불활성 가스로 이루어지는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해 마스크 PR을 트리트먼트해도 된다.
(마스크 트리트먼트: 가스종 3)
도 17에서는, 이하의 프로세스 조건에 나타내는 트리트먼트 가스를 사용하여 에칭을 행했다.
<프로세스 조건>
고주파(HF) 500W
고주파(LF) 100W
가스 CF4=100∼160sccm
실행 시간 5초
도 17에 나타낸 바와 같이, 라인 패턴의 CD 바이어스는 13.8nm, 홀 패턴의 CD 바이어스(긴 직경)가 15.6nm, CD 바이어스(짧은 직경)가 12.5nm이었다. CD 바이어스(Max-Min)는 3.1nm로 되었다.
또한, CD의 수축 비는, 홀 CD의 긴 직경의 경우가 1.13, 홀 CD의 짧은 직경의 경우가 0.91이었다. 이 결과로부터, 트리트먼트 가스에 4불화탄소 가스(CF4)를 사용해도, CD 수축값을 양호하게 제어할 수 있는 것을 알 수 있다.
또한, 4불화탄소 가스 대신에, 디플루오로메탄 가스(CH2F2)로 이루어지는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해 마스크 PR을 트리트먼트해도 된다.
이상으로 설명한 일 실시 형태에 따른 에칭 방법에 의하면, 에칭의 패턴의 CD의 수축 비를 제어할 수 있다.
이상, 에칭 방법을 상기 실시 형태에 의해 설명했지만, 본 발명은 상기 실시 형태로 한정되는 것이 아니고, 본 발명의 범위내에서 여러 가지의 변형 및 개량이 가능하다. 또한, 상기 실시 형태 및 변형예를 모순되지 않는 범위에서 조합할 수 있다.
예를 들면, 상기 실시 형태의 에칭 방법에서는, CD의 세로 대 가로의 수축 비를 1대 1로 하도록 제어했지만, CD의 수축 비의 제어 방법은 이것에 한정되지 않는다. 예를 들면, CD의 세로의 수축 비만을 제어해도 좋고, CD의 가로의 수축 비만을 제어해도 좋다.
본 발명에 따른 에칭 방법이 실행되는 장치로 플라즈마를 발생시키는 수단으로서는, 용량 결합형 플라즈마(CCP: Capacitively Coupled Plasma) 발생 수단, 유도 결합형 플라즈마(ICP: Inductively Coupled Plasma) 발생 수단, 헬리콘파 여기형 플라즈마(HWP: Helicon Wave Plasma) 발생 수단, 래디얼 라인 슬롯 안테나로부터 생성한 마이크로파 플라즈마나 SPA(Slot Plane Antenna) 플라즈마를 포함하는 마이크로파 여기 표면파 플라즈마 발생 수단, 사이클로트론 공명 플라즈마(ECR: Electron Cyclotron Resonance Plasma) 발생 수단, 상기 발생 수단을 이용한 리모트 플라즈마 발생 수단 등을 이용할 수 있다.
본 발명에 있어서 처리가 실시되는 기판은, 상기 실시 형태에서 설명에 사용한 (반도체) 웨이퍼에 한정되지 않고, 예를 들면, 플랫 패널 디스플레이(Flat Panel Display)용의 대형 기판, EL 소자 또는 태양 전지용의 기판이어도 좋다.
10: 챔버
16: 서셉터(하부 전극)
34: 상부 전극
66: 처리 가스 공급원
89: 제 1 고주파 전원
90: 제 2 고주파 전원
100: 제어부
110: 질화티탄(TiN)막
112: 산화실리콘막
114: 폴리실리콘막
116: 유기막
118: 반사 방지막(Si-ARC)
224: 제 3 고주파 전원

Claims (7)

  1. 기판상의 에칭 대상막을 에칭 처리하는 방법으로서,
    할로겐 함유 가스와 수소 가스와 불활성 가스와 산소 가스를 포함하는 트리트먼트(treatment) 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고,
    상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는 스텝
    을 포함하는 에칭 방법.
  2. 제 1 항에 있어서,
    상기 트리트먼트 가스는 이산화탄소 가스를 포함하는 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 트리트먼트하는 시간은 5초 이상 20초 미만인 에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 에칭 대상막은 실리콘 함유막인 에칭 방법.
  5. 기판상의 에칭 대상막을 에칭 처리하는 방법으로서,
    수소 가스 및 불활성 가스로 이루어지는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고,
    상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는 스텝
    을 포함하는 에칭 방법.
  6. 기판상의 에칭 대상막을 에칭 처리하는 방법으로서,
    4불화탄소(CF4) 가스 또는 디플루오로메탄(CH2F2) 가스를 포함하는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고,
    상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는 스텝
    을 포함하는 에칭 방법.
  7. 기판상의 에칭 대상막을 에칭 처리하는 방법으로서,
    수소 가스 및 질소 가스로 이루어지는 트리트먼트 가스를 공급하여, 상기 트리트먼트 가스로부터 생성된 플라즈마에 의해, 에칭 대상막상에 패턴 형성된 마스크를 트리트먼트하고,
    상기 트리트먼트한 에칭 대상막을, 에칭 가스로부터 생성된 플라즈마에 의해 에칭하는 스텝
    을 포함하는 에칭 방법.
KR1020140175667A 2013-12-10 2014-12-09 에칭 방법 KR102304163B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013255349A JP6243722B2 (ja) 2013-12-10 2013-12-10 エッチング処理方法
JPJP-P-2013-255349 2013-12-10

Publications (2)

Publication Number Publication Date
KR20150067738A true KR20150067738A (ko) 2015-06-18
KR102304163B1 KR102304163B1 (ko) 2021-09-17

Family

ID=53271893

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140175667A KR102304163B1 (ko) 2013-12-10 2014-12-09 에칭 방법

Country Status (5)

Country Link
US (1) US9543164B2 (ko)
JP (1) JP6243722B2 (ko)
KR (1) KR102304163B1 (ko)
CN (1) CN104701159B (ko)
TW (1) TWI628713B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
JP7229750B2 (ja) 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11495436B2 (en) * 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050054209A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment method to reduce silicon erosion over HDI silicon regions
US20080038926A1 (en) * 2006-08-07 2008-02-14 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
JP2010500758A (ja) * 2006-08-07 2010-01-07 東京エレクトロン株式会社 エッチング処理を実行する前のマスク層処理方法
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3460436B2 (ja) * 1996-03-28 2003-10-27 ソニー株式会社 半導体装置の製造方法
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
CN100440449C (zh) * 2002-06-27 2008-12-03 东京毅力科创株式会社 等离子体处理方法
CN100365772C (zh) * 2004-06-16 2008-01-30 东京毅力科创株式会社 半导体装置的制造方法
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
JP5934523B2 (ja) * 2012-03-02 2016-06-15 東京エレクトロン株式会社 半導体装置の製造方法及びコンピュータ記録媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050054209A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment method to reduce silicon erosion over HDI silicon regions
US20080038926A1 (en) * 2006-08-07 2008-02-14 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
JP2010500758A (ja) * 2006-08-07 2010-01-07 東京エレクトロン株式会社 エッチング処理を実行する前のマスク層処理方法
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Also Published As

Publication number Publication date
CN104701159A (zh) 2015-06-10
TW201530656A (zh) 2015-08-01
TWI628713B (zh) 2018-07-01
JP6243722B2 (ja) 2017-12-06
US20150162202A1 (en) 2015-06-11
CN104701159B (zh) 2018-05-08
JP2015115410A (ja) 2015-06-22
KR102304163B1 (ko) 2021-09-17
US9543164B2 (en) 2017-01-10

Similar Documents

Publication Publication Date Title
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US6806095B2 (en) Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US7094704B2 (en) Method of plasma etching of high-K dielectric materials
US20100224587A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US8129282B2 (en) Plasma etching method and computer-readable storage medium
KR101772701B1 (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 컴퓨터 기억 매체
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR102304163B1 (ko) 에칭 방법
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
TW201707041A (zh) 蝕刻方法及蝕刻裝置
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
US10607835B2 (en) Etching method
US20210050214A1 (en) Method and system for capping of cores for self-aligned multiple patterning
KR20220022458A (ko) 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들
JP7158252B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
KR20200018547A (ko) 플라스마 에칭 방법
JP2014192245A (ja) プラズマ処理方法及びプラズマ処理装置
US11495436B2 (en) Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
US20220059365A1 (en) Methods for etching structures and smoothing sidewalls
TW202307951A (zh) 用於積體電路的蝕刻方法
TW202249058A (zh) 選擇性阻障金屬蝕刻
CN116569311A (zh) 使用掺杂硼的硅材料的整合工艺

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant