KR20110136717A - 기판 처리 방법 및 기판 처리 장치 - Google Patents

기판 처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20110136717A
KR20110136717A KR1020110056274A KR20110056274A KR20110136717A KR 20110136717 A KR20110136717 A KR 20110136717A KR 1020110056274 A KR1020110056274 A KR 1020110056274A KR 20110056274 A KR20110056274 A KR 20110056274A KR 20110136717 A KR20110136717 A KR 20110136717A
Authority
KR
South Korea
Prior art keywords
electrode
substrate
plasma
outer electrode
variable
Prior art date
Application number
KR1020110056274A
Other languages
English (en)
Inventor
노부히로 와다
마코토 고바야시
히로시 츠지모토
준 다무라
마모루 나오이
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110136717A publication Critical patent/KR20110136717A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(과제) 상부 전극의 소모를 방지하면서, 처리 공간에 있어서의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있는 기판 처리 장치를 제공한다.
(해결 수단) 제1 고주파 전원(18)에 접속되고 그리고 웨이퍼(W)를 올려놓는 서셉터(12)와, 당해 서셉터(12)와 대향하여 배치된 상부 전극판(28)과, 서셉터(12) 및 상부 전극판(28)의 사이의 처리 공간(PS)을 구비하고, 플라즈마를 이용하여 웨이퍼(W)에 플라즈마 에칭 처리를 행하는 기판 처리 장치(10)는, 상부 전극판(28)에 있어서의 처리 공간(PS)에 면하는 부분을 덮는 유전체판(27)을 구비하고, 상부 전극판(28)은, 웨이퍼(W)의 중앙부와 대향하는 내측 전극(28a)과, 웨이퍼(W)의 주연부(周緣部)와 대향하는 외측 전극(28b)으로 분할되고, 내측 전극(28a)과 외측 전극(28b)은 서로 전기적으로 절연되고, 내측 전극(28a)에는 제2 가변 직류 전원(33)으로부터 정(正)의 직류 전압이 인가됨과 함께, 외측 전극(28b)은 전기적으로 접지된다.

Description

기판 처리 방법 및 기판 처리 장치{SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS}
본 발명은 기판에 플라즈마 처리를 행하는 기판 처리 장치 및 기판 처리 방법에 관한 것이다.
종래, 하부 전극과, 당해 하부 전극과 평행하게 배치된 상부 전극을 구비하는 기판 처리 장치에서는, 하부 전극 및 상부 전극 사이의 처리 공간에 플라즈마를 발생시켜, 당해 플라즈마에 의해 하부 전극에 올려놓여진 기판, 예를 들면, 반도체 디바이스용의 웨이퍼(이하, 단순히 「웨이퍼」라고 함)에 소망하는 플라즈마 처리를 행하고 있다.
그런데, 처리 공간에 있어서의 플라즈마의 밀도 분포는 웨이퍼에 행해지는 플라즈마 처리의 균일성에 크게 영향을 주기 때문에, 처리 공간에 있어서의 플라즈마의 밀도 분포를 개선하는 여러 가지의 기술이 제안되고 있다.
예를 들면, 상부 전극을 내측 전극 및 외측 전극으로 나누어 내측 전극 및 외측 전극의 각각에 직류 전압을 인가할 때, 내측 전극의 전위와 외측 전극의 전위와 차이를 형성하는 것이 제안되고 있다(예를 들면, 특허문헌 1 참조). 실리콘 등의 반도체로 이루어지는 상부 전극에 부(負)의 직류 전압을 인가하면, 정(正) 이온이 상부 전극으로 인입되고, 당해 상부 전극은 정 이온과의 충돌에 의해 발생한 2차 전자를 방출하여, 방출된 2차 전자가 처리 공간 중의 플라즈마에 유입된다. 또한, 방출된 2차 전자를 보전(補塡)하도록 직류 전원으로부터 상부 전극으로 전류가 흐른다. 방출된 2차 전자는 플라즈마의 밀도 분포를 변경하지만, 내측 전극의 전위와 외측 전극의 전위와 차이를 형성함으로써, 내측 전극 및 외측 전극의 각각에 인입되는 정 이온의 수, 나아가서는, 방출되는 2차 전자의 수를 조정하여 플라즈마의 밀도 분포를 개선한다.
일본공개특허공보 2006-286814호
그러나, 특허문헌 1의 기술에서는, 적극적으로 정 이온을 인입하기 때문에, 내측 전극 및 외측 전극의 각각이 정 이온에 의해 스퍼터(sputter)되어 소모되고, 또한, 플라즈마에 유입되는 전자에 의한 줄열(Joule heat)에 의해 상부 전극이 가열되어 보다 소모가 심해진다는 문제가 있다.
또한, 상부 전극이나 2차 전자를 직류적으로 접지시키는 개소의 표면 상태에 따라서 직류 전류가 불안정해져, 플라즈마 처리의 특성의 재현성이 저하된다. 즉, 플라즈마 처리의 성능이 안정되지 않는다는 문제도 있다.
또한, 처리 공간에 있어서의 2차 전자의 과잉 상태를 해소하기 위해, 2차 전자를 직류적으로 접지시키는 개소, 예를 들면, 접지 전극을, 처리 공간을 포함하는 처리실 내에 형성할 필요도 있다.
본 발명의 목적은, 상부 전극의 소모를 방지함과 함께, 플라즈마 처리의 성능을 안정시킬 수 있고, 또한, 처리 공간에 있어서의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것에 있다.
상기 목적을 달성하기 위해, 청구항 1에 기재된 기판 처리 장치는, 고주파 전원에 접속되고 그리고 기판을 올려놓는 하부 전극과, 당해 하부 전극과 대향하여 배치된 상부 전극과, 상기 하부 전극 및 상기 상부 전극 사이의 처리 공간을 구비하고, 당해 처리 공간에 발생한 플라즈마를 이용하여 상기 올려놓여진 기판에 플라즈마 처리를 행하는 기판 처리 장치에 있어서, 상기 상부 전극에 있어서의 상기 처리 공간에 면하는 부분을 덮는 유전체 부재를 구비하고, 상기 상부 전극은, 상기 올려놓여진 기판의 중앙부와 대향하는 내측 전극과, 상기 올려놓여진 기판의 주연부(周緣部)와 대향하는 외측 전극으로 분할되고, 상기 내측 전극과 상기 외측 전극은 서로 전기적으로 절연되고, 상기 내측 전극에는 직류 전압이 인가됨과 함께, 상기 외측 전극은 전기적으로 접지되는 것을 특징으로 한다.
청구항 2에 기재된 기판 처리 장치는, 청구항 1에 기재된 기판 처리 장치에 있어서, 상기 내측 전극에는 가변 직류 전원이 접속되는 것을 특징으로 한다.
청구항 3에 기재된 기판 처리 장치는, 청구항 1에 기재된 기판 처리 장치에 있어서, 상기 외측 전극은 용량 가변 필터를 통하여 전기적으로 접지되는 것을 특징으로 한다.
청구항 4에 기재된 기판 처리 장치는, 청구항 1에 기재된 기판 처리 장치에 있어서, 상기 외측 전극에도 다른 직류 전압이 인가되는 것을 특징으로 한다.
상기 목적을 달성하기 위해, 청구항 5에 기재된 기판 처리 방법은, 고주파 전원에 접속되고 그리고 기판을 올려놓는 하부 전극과, 당해 하부 전극과 대향하여 배치된 상부 전극과, 상기 하부 전극 및 상기 상부 전극 사이의 처리 공간을 구비하고, 상기 상부 전극은, 상기 올려놓여진 기판의 중앙부와 대향하는 내측 전극과, 상기 올려놓여진 기판의 주연부와 대향하는 외측 전극으로 분할되고, 상기 내측 전극과 상기 외측 전극은 서로 전기적으로 절연되는 기판 처리 장치에 있어서, 상기 처리 공간에 발생한 플라즈마를 이용하여 상기 올려놓여진 기판에 플라즈마 처리를 행하는 기판 처리 방법으로서, 상기 상부 전극에 있어서의 상기 처리 공간에 면하는 부분을 유전체 부재로 덮고, 상기 내측 전극에 직류 전압을 인가함과 함께, 상기 외측 전극을 전기적으로 접지시키는 것을 특징으로 한다.
청구항 6에 기재된 기판 처리 방법은, 청구항 5에 기재된 기판 처리 방법에 있어서, 상기 플라즈마 처리의 처리 조건에 따라서 상기 내측 전극에 인가되는 직류 전압의 값을 변경하는 것을 특징으로 한다.
청구항 7에 기재된 기판 처리 방법은, 청구항 6에 기재된 기판 처리 방법에 있어서, 상기 플라즈마 처리에 있어서, 상기 올려놓여진 기판의 중앙부의 에칭 레이트(etching rate)가 상기 올려놓여진 기판의 주연부의 에칭 레이트보다도 높을 경우, 상기 내측 전극에 정(正)의 직류 전압을 인가하는 것을 특징으로 한다.
청구항 8에 기재된 기판 처리 방법은, 청구항 6에 기재된 기판 처리 방법에 있어서, 상기 플라즈마 처리에 있어서, 상기 올려놓여진 기판의 중앙부의 에칭 레이트가 상기 올려놓여진 기판의 주연부의 에칭 레이트보다도 낮을 경우, 상기 내측 전극에 부의 직류 전압을 인가하는 것을 특징으로 한다.
청구항 9 기재의 기판 처리 방법은, 청구항 5에 기재된 기판 처리 방법에 있어서, 상기 플라즈마 처리의 처리 조건에 따라서, 상기 유전체 부재를 두께, 유전율 및 표면적 중 적어도 1개가 변경된 다른 유전체 부재로 변경하는 것을 특징으로 한다.
청구항 10에 기재된 기판 처리 방법은, 청구항 5에 기재된 기판 처리 방법에 있어서, 상기 외측 전극을, 가변 콘덴서를 갖는 용량 가변 필터를 개재하여 전기적으로 접지시키고, 상기 플라즈마 처리의 처리 조건에 따라서 상기 가변 콘덴서의 용량을 변경시킬 때, 상기 용량 가변 필터에 있어서의 전위차를, 상기 용량 가변 필터의 전압 특성에 있어서의 공진점을 포함하는 범위에서 변경하는 것을 특징으로 한다.
청구항 11에 기재된 기판 처리 방법은, 청구항 5에 기재된 기판 처리 방법에 있어서, 상기 외측 전극에도 다른 직류 전압을 인가하여, 상기 플라즈마 처리의 처리 조건에 따라서 상기 내측 전극의 전위와 상기 외측 전극의 전위와의 차이를 조정하는 것을 특징으로 한다.
청구항 12에 기재된 기판 처리 방법은, 청구항 11에 기재된 기판 처리 방법에 있어서, 상기 외측 전극의 전위가 상기 내측 전극의 전위와 반대의 전위가 되도록, 상기 외측 전극에 다른 직류 전압을 인가하는 것을 특징으로 한다.
본 발명에 의하면, 상부 전극에 있어서의 처리 공간에 면하는 부분이 유전체 부재에 의해 덮이기 때문에, 상부 전극이 정 이온에 의해 스퍼터되는 일이 없다. 또한, 유전체 부재가 전자를 저지하기 때문에, 플라즈마에 전자가 유입되지 않는다. 즉, 직류 전류가 흐르지 않기 때문에 줄열에 의한 상부 전극의 가열을 방지할 수 있고, 상부 전극의 소모를 방지할 수 있다. 또한, 플라즈마에 전자가 과잉으로 유입되는 일이 없기 때문에, 직류 전류가 흐르는 일이 없고, 그 결과, 플라즈마 처리의 성능을 안정시킬 수 있음과 함께, 전자를 직류적으로 접지시키는 개소를 처리 공간에 형성할 필요를 없앨 수 있다.
또한, 본 발명에 의하면, 상부 전극의 내측 전극에는 직류 전압이 인가됨과 함께, 상부 전극의 외측 전극은 전기적으로 접지되기 때문에, 내측 전극 및 하부 전극 사이의 전위차와, 외측 전극 및 하부 전극 사이의 전위차를 상이하게 할 수 있다. 전위차가 바뀌면, 플라즈마의 밀도 분포도 바뀌기 때문에, 내측 전극 및 하부 전극 사이의 플라즈마의 밀도와 외측 전극 및 하부 전극 사이의 플라즈마의 밀도를 상이하게 할 수 있다. 그 결과, 처리 공간에 있어서의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있다.
도 1은 본 발명의 제1 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내는 단면도이다.
도 2는 도 1의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
도 3은 도 1의 기판 처리 장치에 의한 에칭 레이트의 균일성의 향상의 일 예를 설명하기 위한 도면이다.
도 4는 도 1의 기판 처리 장치에 의한 에칭 레이트의 균일성의 향상의 다른 예를 설명하기 위한 도면이다.
도 5는 본 발명의 제2 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내는 단면도이다.
도 6은 도 5의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
도 7은 도 6에 있어서의 용량 가변 필터의 전압 특성을 나타내는 도면이다.
도 8은 본 발명의 제3 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내는 단면도이다.
도 9는 도 8의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
(발명을 실시하기 위한 형태)
이하, 본 발명의 실시 형태에 대해서 도면을 참조하면서 설명한다.
우선, 본 발명의 제1 실시 형태에 따른 기판 처리 장치에 대해서 설명한다.
도 1은 본 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내는 단면도이다. 본 기판 처리 장치는, 기판으로서의 웨이퍼에 플라즈마 에칭 처리를 행한다.
도 1에 있어서, 기판 처리 장치(10)는, 예를 들면, 직경이 300mm인 웨이퍼(W)를 수용하는 챔버(11)를 갖고, 당해 챔버(11) 내부에는 반도체 디바이스용의 웨이퍼(W)를 올려놓는 원기둥 형상의 서셉터(susceptor; 12)(하부 전극)가 배치되어 있다. 기판 처리 장치(10)에서는, 챔버(11)의 내부 측벽과 서셉터(12)의 측면에 의해 측방 배기로(13)가 형성된다. 이 측방 배기로(13)의 도중에는 배기 플레이트(14)가 배치된다.
배기 플레이트(14)는 다수의 관통공을 갖는 판 형상 부재이며, 챔버(11) 내부를 상부와 하부로 구분하는 칸막이판으로서 기능한다. 배기 플레이트(14)에 의해 구분된 챔버(11) 내부의 상부(이하, 「처리실」이라고 함; 15)에는 후술하는 바와 같이 플라즈마가 발생한다. 또한, 챔버(11) 내부의 하부(이하, 「배기실(매니폴드)」이라고 함; 16)에는 챔버(11) 내부의 가스를 배출하는 배기관(17)이 접속된다. 배기 플레이트(14)는 처리실(15)에 발생하는 플라즈마를 포착 또는 반사하여 매니폴드(manifold; 16)로의 누설을 방지한다.
배기관(17)에는 TMP(Turbo Molecular Pump) 및 DP(Dry Pump)(모두 도시하지 않음)가 접속되고, 이들 펌프는 챔버(11) 내부를 진공흡인하여 감압한다. 또한, 챔버(11) 내부의 압력은 APC 밸브(도시하지 않음)에 의해 제어된다.
챔버(11) 내부의 서셉터(12)에는 제1 고주파 전원(18)이 제1 정합기(19)를 개재하여 접속되고, 그리고 제2 고주파 전원(20)이 제2 정합기(21)를 개재하여 접속되어 있고, 제1 고주파 전원(18)은 비교적 높은 주파수, 예를 들면, 40㎒의 플라즈마 생성용의 고주파 전력을 서셉터(12)에 공급하고, 제2 고주파 전원(20)은 비교적 낮은 주파수, 예를 들면, 2㎒의 이온 인입용의 고주파 전력을 서셉터(12)에 공급한다. 이에 따라, 서셉터(12)는 하부 전극으로서 기능한다. 또한, 제1 정합기(19) 및 제2 정합기(21)는, 서셉터(12)로부터의 고주파 전력의 반사를 저감하여 고주파 전력의 서셉터(12)로의 공급 효율을 최대로 한다.
서셉터(12)의 상부는, 지름이 큰 원기둥의 선단(front end)으로부터 지름이 작은 원기둥이 동심 축을 따라 돌출되어 있는 형상을 나타내고, 당해 상부에는 지름이 작은 원기둥을 둘러싸도록 단차가 형성된다. 지름이 작은 원기둥의 선단에는 정전 전극판(22)을 내부에 갖는 세라믹스로 이루어지는 정전 척(electrostatic chuck; 23)이 배치되어 있다. 정전 전극판(22)에는 제1 가변 직류 전원(24)이 접속되어 있어, 정전 전극판(22)에 정(正)의 직류 전압이 인가되면, 웨이퍼(W)에 있어서의 정전 척(23)측의 면(이하, 「이면(裏面)」이라고 함)에는 부 전위가 발생하여 정전 전극판(22) 및 웨이퍼(W)의 이면의 사이에 전위차가 발생하고, 당해 전위차에 기인하는 쿨롱력 또는 존슨·라벡력에 의해, 웨이퍼(W)는 정전 척(23)에 흡착 보지(保持)된다.
또한, 서셉터(12)의 상부에는, 정전 척(23)에 흡착 보지된 웨이퍼(W)를 둘러싸도록, 포커스 링(25)이 서셉터(12)의 상부에 있어서의 단차에 올려놓여진다. 포커스 링(25)은 실리콘(Si)으로 이루어진다. 즉, 포커스 링(25)은 반도체로 이루어지기 때문에, 플라즈마의 분포 영역이 웨이퍼(W) 상뿐만 아니라 당해 포커스 링(25) 상까지 확대된다.
챔버(11)의 천정부에는, 처리 공간(PS)을 사이에 두고 서셉터(12)와 대향하도록 샤워 헤드(shower head; 26)가 배치된다. 샤워 헤드(26)는, 유전체판(27)(유전체 부재)과, 상부 전극판(28)(상부 전극)과, 당해 상부 전극판(28)을 착탈 가능하게 매달아 지지하는 쿨링 플레이트(cooling plate; 29)와, 당해 쿨링 플레이트(29)를 덮는 덮개체(30)를 갖는다.
유전체판(27)은, 예를 들면, 실리카(SiO2), 탄화 규소(SiC)나 이트리아(Y2O3) 등의 세라믹스, 석영 등의 유리, 또는 결정과 같은 플라즈마 내성을 갖는 절연 재료로 이루어지는 원판 형상 부재이고, 상부 전극판(28)의 처리 공간(PS)에 면하는 부분(하면)을 모두 덮는다. 상부 전극판(28)은, 반도체, 예를 들면, 실리콘으로 이루어지는 원판 형상 부재이다. 유전체판(27) 및 상부 전극판(28)에는, 이들을 관통하고, 그리고 후술하는 쿨링 플레이트(29)에 있어서의 버퍼실과 연통하는, 다수의 가스공(도시하지 않음)이 형성된다. 또한, 쿨링 플레이트(29)의 내부에는 버퍼실(도시하지 않음)이 형성되고, 이 버퍼실에는 처리 가스 공급관(31)을 통하여 처리 가스 공급 장치(도시하지 않음)로부터 처리 가스가 공급된다. 처리 가스 공급 장치는, 예를 들면, 각종 가스의 유량비를 적절히 조정하여 혼합 가스를 생성하고, 당해 혼합 가스를 처리 가스 공급관(31), 버퍼실 및 가스공을 통하여 처리 공간(PS)에 도입한다.
또한, 샤워 헤드(26)의 상부 전극판(28)은, 서셉터(12)에 올려놓여진 웨이퍼(W)의 중앙부와 대향하는 내측 전극(28a)과, 당해 웨이퍼(W)의 주연부와 대향하는 외측 전극(28b)으로 분할되고, 내측 전극(28a) 및 외측 전극(28b)의 사이에는, 내측 전극(28a) 및 외측 전극(28b)을 전기적으로 절연하는 환상(annular)의 절연성 부재인 절연 링(32)이 개재된다. 내측 전극(28a)에는 제2 가변 직류 전원(33)이 접속되어, 내측 전극(28a)에 정(正)의 직류 전압이 인가된다. 제2 가변 직류 전원(33)은 내측 전극(28a)에 인가하는 직류 전압의 값을 변경할 수 있기 때문에, 내측 전극(28a)의 전위는 변경 가능하다. 또한, 외측 전극(28b)은 직류 전원 등에 접속되는 일 없이 전기적으로 접지된다.
기판 처리 장치(10)에서는, 처리 공간(PS)에 도입된 처리 가스가 제1 고주파 전원(18)으로부터 서셉터(12)를 통하여 처리 공간(PS)에 인가된 플라즈마 생성용의 고주파 전력에 의해 여기(excitation)되어 플라즈마가 된다. 당해 플라즈마 중의 정 이온은 웨이퍼(W)에 인입되어, 당해 웨이퍼(W)에 플라즈마 에칭 처리를 행한다. 이때, 상부 전극판(28)은 유전체판(27)에 의해 덮이기 때문에, 정 이온에 의해 스퍼터되는 일이 없어, 상부 전극판(28)은 소모되는 일이 없다.
도 2는, 도 1의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
도 2의 전기 회로에는, 제1 고주파 전원(18) 및 접지의 사이에는, 제1 고주파 전원(18)으로부터 처리 공간(PS), 내측 전극(28a) 및 제2 가변 직류 전원(33)을 거쳐 접지에 이르는 제1 경로(L1)와, 제1 고주파 전원(18)으로부터 처리 공간(PS) 및 외측 전극(28b)을 거쳐 접지에 이르는 제2 경로(L2)가 존재하며, 제1 경로(L1)와 제2 경로(L2)는 병렬로 접속된다.
제1 경로(L1)에서는, 처리 공간(PS) 및 내측 전극(28a)을 서로 직렬 접속된 콘덴서(C1) 및 콘덴서(C2)로 간주할 수 있고, 제2 경로(L2)에서는, 처리 공간(PS) 및 외측 전극(28b)을 서로 직렬 접속된 콘덴서(C3) 및 콘덴서(C4)로 간주할 수 있다.
도 2의 전기 회로에 있어서, 제1 경로(L1)에는 콘덴서(C2)와 접지의 사이에 제2 가변 직류 전원(33)이 개재되어, 당해 제2 가변 직류 전원(33)이 콘덴서(C2)(내측 전극(28a))에 정(正)의 직류 전압을 인가하기 때문에, 콘덴서(C1) 및 콘덴서(C2)에 있어서의 전위차의 합계는, 콘덴서(C3) 및 콘덴서(C4)에 있어서의 전위차의 합계보다도 작아진다. 그 결과, 콘덴서(C1)에 있어서의 전위차는 콘덴서(C3)에 있어서의 전위차보다도 작아진다. 여기에서, 콘덴서(C1)에 있어서의 전위차는 처리 공간(PS)에 있어서의 내측 전극(28a) 및 서셉터(12)의 사이의 전위차로 간주할 수 있고, 콘덴서(C3)에 있어서의 전위차는 처리 공간(PS)에 있어서의 외측 전극(28b) 및 서셉터(12)의 사이의 전위차로 간주할 수 있다. 일반적으로, 처리 공간에 있어서의 전위차가 크면 전계가 강해져 플라즈마의 밀도가 높아지고, 처리 공간에 있어서의 전위차가 작으면 전계가 약해져 플라즈마의 밀도가 낮아진다.
따라서, 기판 처리 장치(10)에서는, 처리 공간(PS)에 있어서, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도를 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도보다도 낮게 할 수 있다.
또한, 도 2의 전기 회로에 있어서, 제2 가변 직류 전원(33)에 콘덴서(C2)(내측 전극(28a))에 부의 직류 전압을 인가시킨 경우, 콘덴서(C1) 및 콘덴서(C2)에 있어서의 전위차의 합계는, 콘덴서(C3) 및 콘덴서(C4)에 있어서의 전위차의 합계보다도 커진다. 그 결과, 콘덴서(C1)에 있어서의 전위차를 콘덴서(C3)에 있어서의 전위차보다도 크게 할 수 있고, 그 때문에, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도를 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도보다도 높게 할 수 있다.
즉, 내측 전극(28a) 및 접지의 사이에 제2 가변 직류 전원(33)을 개재시킴으로써 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있고, 이에 따라, 플라즈마 에칭 처리에 있어서의 에칭 레이트의 균일성을 향상시킬 수 있다.
예를 들면, 플라즈마 에칭 처리에 있어서 웨이퍼(W)의 중앙부의 에칭 레이트가 웨이퍼(W)의 주연부의 에칭 레이트보다도 높은 경우(도 3의 실선을 참조), 제2 가변 직류 전원(33)으로부터 콘덴서(C2)(내측 전극(28a))에 정(正)의 직류 전압을 인가함으로써, 웨이퍼(W)의 중앙부에 있어서의 플라즈마의 밀도를 저하시킬 수 있고, 그 때문에, 웨이퍼(W)의 중앙부의 에칭 레이트를 저하시킬 수 있다(도 3의 파선을 참조). 또한, 웨이퍼(W)의 중앙부의 에칭 레이트가 웨이퍼(W)의 주연부의 에칭 레이트보다도 낮은 경우(도 4의 실선을 참조), 제2 가변 직류 전원(33)으로부터 콘덴서(C2)(내측 전극(28a))에 부의 직류 전압을 인가함으로써, 웨이퍼(W)의 중앙부에 있어서의 플라즈마의 밀도를 높게 할 수 있고, 그 때문에, 웨이퍼(W)의 중앙부의 에칭 레이트를 상승시킬 수 있다(도 4의 파선을 참조).
또한, 기판 처리 장치(10)에서는, 내측 전극(28a)의 전위가 제2 가변 직류 전원(33)에 의해 변경 가능하기 때문에, 콘덴서(C1) 및 콘덴서(C2)에 있어서의 전위차의 합계, 나아가서는, 콘덴서(C1)에 있어서의 전위차(내측 전극(28a) 및 서셉터(12)의 사이의 전위차)를 적극적으로 변경할 수 있다. 여기에서, 플라즈마 에칭 처리의 처리 조건, 예를 들면, 가스 종류, 처리 공간(PS)의 압력, 플라즈마 생성용의 고주파 전력의 크기에 따라서 내측 전극(28a)에 인가되는 직류 전압의 값을 변경하면, 내측 전극(28a) 및 서셉터(12)의 사이에 있어서 플라즈마 에칭 처리의 처리 조건에 적합한 플라즈마의 밀도 분포를 실현할 수 있다.
본 실시 형태에 따른 기판 처리 장치(10)에 의하면, 상부 전극판(28)에 있어서의 처리 공간(PS)에 면하는 부분이 유전체판(27)에 의해 덮이기 때문에, 상부 전극판(28)이 정 이온에 의해 스퍼터되는 일이 없다. 또한, 유전체판(27)이 전자를 저지하기 때문에, 플라즈마에 전자가 유입되지 않는다. 즉, 직류 전류가 흐르지 않기 때문에 줄열에 의한 상부 전극판(28)의 가열을 방지할 수 있고, 상부 전극판(28)의 소모를 방지할 수 있다. 또한, 플라즈마에 전자가 과잉으로 유입되는 일이 없기 때문에, 직류 전류가 흐르는 일이 없고, 그 결과, 플라즈마 처리의 성능을 안정시킬 수 있음과 함께, 전자를 직류적으로 접지시키는 개소를, 처리 공간(PS)을 포함하는 챔버(11) 내에 형성할 필요를 없앨 수 있다.
또한, 본 실시 형태에 따른 기판 처리 장치(10)에 의하면, 상부 전극판(28)의 내측 전극(28a)에는 직류 전압이 인가됨과 함께, 상부 전극판(28)의 외측 전극(28b)은 전기적으로 접지되기 때문에, 내측 전극(28a) 및 서셉터(12)의 사이의 전위차와, 외측 전극(28b) 및 서셉터(12)의 사이의 전위차를 상이하게 할 수 있다. 전위차가 바뀌면 전계의 강도가 바뀌어, 플라즈마의 밀도 분포도 바뀌기 때문에, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도와 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도를 상이하게 할 수 있다. 그 결과, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있다.
또한, 기판 처리 장치(10)에서는, 내측 전극(28a)의 전위를 변경할 수 있기 때문에, 내측 전극(28a) 및 서셉터(12)의 사이의 전위차를 적극적으로 변경할 수 있다. 그 결과, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있다.
전술한 기판 처리 장치(10)에서는, 플라즈마 처리의 처리 조건에 따라서 유전체판(27)이 두께, 유전율 및 표면적 중 적어도 1개가 변경된 다른 유전체판으로 변경되어도 좋다. 유전율 및 표면적 중 적어도 1개가 변경되면, 도 2의 전기 회로에 있어서, 콘덴서(C1)나 콘덴서(C3)의 용량이 변경되어 전위차가 변경되기 때문에, 콘덴서(C2)나 콘덴서(C4)에 있어서의 전위차도 변경된다. 즉, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포를 변경할 수 있어, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 보다 향상시킬 수 있다.
또한, 전술한 기판 처리 장치(10)에서는, 내측 전극(28a)에 제2 가변 직류 전원(33)이 접속되고 외측 전극(28b)이 접지되어 있지만, 플라즈마 에칭 처리의 처리 조건이나 결과에 따라서 내측 전극(28a)을 접지시킴과 함께, 외측 전극(28b)에 가변 직류 전원을 접속하여 외측 전극(28b)에 직류 전압을 인가해도 좋다. 이에 의해서도, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도와 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도를 상이하게 할 수 있고, 그 때문에, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 향상시킬 수 있다.
또한, 전술한 기판 처리 장치(10)에서는, 내측 전극(28a)에 제2 가변 직류 전원(33)을 접속했지만, 당해 내측 전극(28a)에 소정값의 직류 전압만을 인가하는 고정 직류 전원을 접속해도 좋다.
다음으로, 본 발명의 제2 실시 형태에 따른 기판 처리 장치에 대해서 상세하게 설명한다.
본 실시 형태는, 그 구성, 작용이 전술한 제1 실시 형태와 기본적으로 동일하기 때문에, 중복된 구성, 작용에 대해서는 설명을 생략하고, 이하에 상이한 구성, 작용에 대한 설명을 행한다.
도 5는 본 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내 는 단면도이다.
도 5에 있어서, 기판 처리 장치(34)에서는, 외측 전극(28b)에 용량 가변 필터(35)가 접속되고, 외측 전극(28b)은 당해 용량 가변 필터(35)를 통하여 접지된다. 용량 가변 필터(35)는, 병렬로 접속된 복수의 가변 콘덴서를 내장하여, 소정의 주파수 이상의 고주파 전류를 차단하는 하이 커트 필터(high-cut filter)로서 기능한다. 또한, 고주파 전압이 인가될 때, 내장되는 가변 콘덴서의 용량을 변경함으로써 당해 용량 가변 필터(35)에 있어서의 전위차를 변경할 수 있고, 그 결과, 용량 가변 필터(35)에 접속된 전극의 전위를 변경할 수 있다.
도 6은, 도 5의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
도 6의 전기 회로에는, 도 2에 있어서의 제1 경로(L1)와, 제1 고주파 전원(18)으로부터 처리 공간(PS), 외측 전극(28b) 및 용량 가변 필터(35)를 거쳐 접지에 이르는 제3 경로(L3)가 존재하고, 제1 경로(L1)와 제3 경로(L3)는 병렬로 접속된다. 제3 경로(L3)에서는, 콘덴서(C3)(처리 공간(PS)) 및 콘덴서(C4)(외측 전극(28b))에 용량 가변 필터(35)가 직렬로 접속되어 있다고 간주할 수 있다.
도 6의 전기 회로에 있어서, 제3 경로(L3)에는 콘덴서(C4)와 접지의 사이에 용량 가변 필터(35)가 개재되어, 당해 용량 가변 필터(35)가 콘덴서(C4)의 전위를 변경하기 때문에, 콘덴서(C3) 및 콘덴서(C4)에 있어서의 전위차의 합계를 적극적으로 변경할 수 있고, 나아가서는, 콘덴서(C3)에 있어서의 전위차(처리 공간(PS)에 있어서의 외측 전극(28b) 및 서셉터(12)의 사이의 전위차)를 적극적으로 변경할 수 있다. 그 결과, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마 밀도 분포의 제어성뿐만 아니라, 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마 밀도 분포의 제어성도 향상시킬 수 있고, 그 때문에, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 보다 향상시킬 수 있다.
여기에서, 기판 처리 장치(34)에 있어서, 플라즈마 에칭 처리의 처리 조건에 따라서 콘덴서(C3)에 있어서의 전위차를 적극적으로 변경하는 것이 바람직하다. 이에 따라, 외측 전극(28b) 및 서셉터(12)의 사이에 있어서 플라즈마 에칭 처리의 처리 조건에 적합한 플라즈마의 밀도 분포를 실현할 수 있다.
또한, 용량 가변 필터(35)에서는, 내장되는 가변 콘덴서의 용량을 변경시킴으로써 당해 용량 가변 필터(35)에 있어서의 전위차를 변경할 수 있지만, 변경 후의 용량은 용량 가변 필터(35)가 구비하는 눈금(포지션)에 의해 나타나고, 용량 가변 필터(35)에 있어서의 전위차(전압 특성)는 도 7에 나타내는 바와 같이 변화한다. 여기에서, 용량 가변 필터(35)에 있어서의 전압 특성은, 전위차가 거의 0이 되는 공진점과, 전위차가 극단적으로 커지는 공진점을 갖는다. 또한, 용량 가변 필터(35)에 있어서의 전압 특성은 처리 조건에 따라서 상이한 변화 실시 형태를 나타낸다. 도면 중의 「◆」, 「■」이나 「●」는 각각 상이한 처리 조건에 있어서의 전압 특성을 나타낸다.
기판 처리 장치(34)에서는, 플라즈마 에칭 처리의 처리 조건에 따라서 용량 가변 필터(35)에 있어서의 전위차를 변경하여 콘덴서(C3)에 있어서의 전위차를 변경할 때, 용량 가변 필터(35)에 있어서의 전위차를 당해 용량 가변 필터(35)의 전압 특성에 있어서의 공진점을 포함하는 범위에서 변경시키는 것이 바람직하다. 이에 따라, 콘덴서(C3)에 있어서의 전위차를 큰 폭으로 변경할 수 있기 때문에, 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도 분포의 제어성을 큰 폭으로 향상시킬 수 있다.
전술한 기판 처리 장치(34)에서는, 내측 전극(28a)에 제2 가변 직류 전원(33)이 접속되고 외측 전극(28b)에 용량 가변 필터(35)가 접속되어 있지만, 내측 전극(28a)에 용량 가변 필터(35)를 접속시킴과 함께, 외측 전극(28b)에 제2 가변 직류 전원(33)을 접속해도 좋다. 이에 의해서도, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마의 밀도와 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마의 밀도를 상이하게 할 수 있고, 그 때문에, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 보다 향상시킬 수 있다.
다음으로, 본 발명의 제3 실시 형태에 따른 기판 처리 장치에 대해서 상세하게 설명한다.
본 실시 형태는, 그 구성, 작용이 전술한 제1 실시 형태와 기본적으로 동일하기 때문에, 중복된 구성, 작용에 대해서는 설명을 생략하고, 이하에 상이한 구성, 작용에 대한 설명을 행한다.
도 8은 본 실시 형태에 따른 기판 처리 장치의 구성을 개략적으로 나타내는 단면도이다.
도 8에 있어서, 기판 처리 장치(36)에서는, 외측 전극(28b)에 제3 가변 직류 전원(37)이 접속되어, 외측 전극(28b)에 정(正)의 직류 전압이 인가된다. 제3 가변 직류 전원(37)은 외측 전극(28b)에 인가하는 직류 전압의 값을 변경할 수 있기 때문에, 외측 전극(28b)의 전위는 변경 가능하다.
도 9는 도 8의 기판 처리 장치에 있어서의 플라즈마 생성용의 고주파 전력에 관한 전기 회로를 개략적으로 나타내는 도면이다.
도 9의 전기 회로에는, 도 2에 있어서의 제1 경로(L1)와, 제1 고주파 전원(18)으로부터 처리 공간(PS), 외측 전극(28b) 및 제3 가변 직류 전원(37)을 거쳐 접지에 이르는 제4 경로(L4)가 존재하고, 제1 경로(L1)와 제4 경로(L4)는 병렬로 접속된다. 제4 경로(L4)에서는, 콘덴서(C3)(처리 공간(PS)) 및 콘덴서(C4)(외측 전극(28b))에 제3 가변 직류 전원(37)이 직렬로 접속되어 있다고 간주할 수 있다.
도 9의 전기 회로에 있어서, 제4 경로(L4)에는 콘덴서(C4)와 접지의 사이에 제3 가변 직류 전원(37)이 개재되어, 당해 제3 가변 직류 전원(37)이 콘덴서(C4)에 정(正)의 직류 전압을 인가하기 때문에, 외측 전극(28b)이 직접 접지되는 경우에 비하여, 콘덴서(C3) 및 콘덴서(C4)에 있어서의 전위차의 합계가 작아진다. 한편, 제3 가변 직류 전원(37)이 콘덴서(C4)에 부의 직류 전압을 인가시키면, 콘덴서(C3) 및 콘덴서(C4)에 있어서의 전위차의 합계가 커진다.
따라서, 기판 처리 장치(36)에서는, 콘덴서(C3)에 있어서의 전위차(외측 전극(28b) 및 서셉터(12)의 사이의 전위차)를 적극적으로 변경할 수 있다. 즉, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마 밀도 분포의 제어성뿐만 아니라, 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마 밀도 분포의 제어성도 향상시킬 수 있기 때문에, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 보다 향상시킬 수 있다.
특히, 제2 가변 직류 전원(33)으로 하여금 콘덴서(C2)에 정(正)의 직류 전압을 인가하게 하여 내측 전극(28a)에 정(正)의 전위를 발생시킴과 함께, 제3 가변 직류 전원(37)으로 하여금 콘덴서(C4)에 부의 직류 전압을 인가하게 하여 외측 전극(28b)에 부의 전위를 발생시킨 경우, 콘덴서(C1)에 있어서의 전위차와 콘덴서(C3)에 있어서의 전위차와의 절대값 차이를 크게 할 수 있고, 이에 따라, 큰 치우침이 있는 에칭 레이트의 분포를 확실히 개선할 수 있다. 또한, 콘덴서(C2)에 부의 직류 전압을 인가하여 내측 전극(28a)에 부의 전위를 발생시킴과 함께, 콘덴서(C4)에 정(正)의 직류 전압을 인가하여 외측 전극(28b)에 정(正)의 전위를 발생시켜도 좋고, 이에 의해서도, 큰 치우침이 있는 에칭 레이트의 분포를 확실히 개선할 수 있다.
또한, 기판 처리 장치(36)에서는 내측 전극(28a)의 전위가 변경 가능할 뿐만 아니라, 외측 전극(28b)의 전위도 변경 가능하기 때문에, 플라즈마 에칭 처리의 처리 조건에 따라서 내측 전극(28a)의 전위와 외측 전극(28b)의 전위와의 차이를 조정하는 것이 바람직하다. 이에 따라, 내측 전극(28a) 및 서셉터(12)의 사이의 플라즈마 밀도와, 외측 전극(28b) 및 서셉터(12)의 사이의 플라즈마 밀도와의 차이를 세밀하게 조정할 수 있고, 그 때문에, 플라즈마 에칭 처리의 처리 조건에 의해 적합한 플라즈마의 밀도 분포를 실현할 수 있다.
전술한 각 실시 형태에서는, 상부 전극판(28)이 서셉터(12)에 대하여 상대적으로 이동하는 일이 없지만, 샤워 헤드(26)를 상하 방향으로 이동 가능하게 구성하여 상부 전극판(28)이 서셉터(12)에 대하여 상대적으로 이동할 수 있도록 해도 좋다. 이 경우, 도 2, 6 및 9의 전기 회로에 있어서의 콘덴서(C1)나 콘덴서(C3)의 용량이 변경 가능해지기 때문에, 콘덴서(C1)나 콘덴서(C3)에 있어서의 전위차를 세세하게 조정할 수 있고, 그 때문에, 처리 공간(PS)에 있어서의 플라즈마의 밀도 분포의 제어성을 더욱 향상시킬 수 있다.
전술한 각 실시 형태에 따른 기판 처리 장치가 플라즈마 에칭 처리를 행하는 기판은, 반도체 디바이스용의 웨이퍼로 한정되지 않고, LCD(Liquid Crystal Display) 등을 포함하는 FPD(Flat Panel Display) 등에 이용되는 각종 기판이나, 포토마스크, CD 기판, 프린트 기판 등이라도 좋다.
또한, 본 발명에 대해서, 상기 각 실시 형태를 이용하여 설명했지만, 본 발명은 상기 각 실시 형태로 한정되는 것은 아니다.
본 발명의 목적은, 전술한 각 실시 형태의 기능을 실현하는 소프트웨어의 프로그램을 기록한 기억 매체를, 컴퓨터 등에 공급하여, 컴퓨터의 CPU가 기억 매체에 격납된 프로그램을 읽어내어 실행하는 것에 의해서도 달성된다.
이 경우, 기억 매체로부터 읽힌 프로그램 자체가 전술한 각 실시 형태의 기능을 실현함으로써, 프로그램 및 그 프로그램을 기억한 기억 매체는 본 발명을 구성하게 된다.
또한, 프로그램을 공급하기 위한 기억 매체로서는, 예를 들면, RAM, NV-RAM, 플로피(등록상표) 디스크, 하드 디스크, 광(光)자기 디스크, CD-ROM, CD-R, CD-RW, DVD(DVD-ROM, DVD-RAM, DVD-RW, DVD+RW) 등의 광디스크, 자기 테이프, 불휘발성의 메모리 카드, 기타 ROM 등의 상기 프로그램을 기억할 수 있는 것이면 좋다. 혹은, 상기 프로그램은, 인터넷, 상용 네트워크, 또는 로컬 에어리어 네트워크 등에 접속되는 도시하지 않은 다른 컴퓨터나 데이터 베이스 등으로부터 다운로드함으로써 컴퓨터에 공급되어도 좋다.
또한, 컴퓨터의 CPU가 읽어낸 프로그램을 실행함으로써, 상기 각 실시 형태의 기능이 실현될 뿐만 아니라, 그 프로그램의 지시에 기초하여, CPU상에서 가동되고 있는 OS(오퍼레이팅 시스템) 등이 실제 처리의 일부 또는 전부를 행하여, 그 처리에 의해 전술한 각 실시 형태의 기능이 실현되는 경우도 포함된다.
또한, 기억 매체로부터 읽힌 프로그램이, 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 구비되는 메모리에 기입된 후, 그 프로그램의 지시에 기초하여, 그 기능 확장 보드나 기능 확장 유닛에 구비되는 CPU 등이 실제 처리의 일부 또는 전부를 행하여, 그 처리에 의해 전술한 각 실시 형태의 기능이 실현되는 경우도 포함된다.
상기 프로그램의 형태는, 오브젝트 코드(object code), 인터프리터(interpreter)에 의해 실행되는 프로그램, OS에 공급되는 스크립트(script) 데이터 등의 형태로 이루어져도 좋다.
W : 웨이퍼
PS : 처리 공간
10, 34, 36 : 기판 처리 장치
12 : 서셉터
18 : 제1 고주파 전원
28 : 상부 전극판
28a : 내측 전극
28b : 외측 전극
33 : 제2 가변 직류 전원
35 : 용량 가변 필터
37 : 제3 가변 직류 전원

Claims (12)

  1. 고주파 전원에 접속되고 그리고 기판을 올려놓는 하부 전극과, 당해 하부 전극과 대향하여 배치된 상부 전극과, 상기 하부 전극 및 상기 상부 전극 사이의 처리 공간을 구비하고, 당해 처리 공간에 발생한 플라즈마를 이용하여 상기 올려놓여진 기판에 플라즈마 처리를 행하는 기판 처리 장치에 있어서,
    상기 상부 전극에 있어서의 상기 처리 공간에 면하는 부분을 덮는 유전체 부재를 구비하고,
    상기 상부 전극은, 상기 올려놓여진 기판의 중앙부와 대향하는 내측 전극과, 상기 올려놓여진 기판의 주연부(周緣部)와 대향하는 외측 전극으로 분할되고,
    상기 내측 전극과 상기 외측 전극은 서로 전기적으로 절연되고,
    상기 내측 전극에는 직류 전압이 인가됨과 함께, 상기 외측 전극은 전기적으로 접지되는 것을 특징으로 하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 내측 전극에는 가변 직류 전원이 접속되는 것을 특징으로 하는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 외측 전극은 용량 가변 필터를 통하여 전기적으로 접지되는 것을 특징으로 하는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 외측 전극에도 다른 직류 전압이 인가되는 것을 특징으로 하는 기판 처리 장치.
  5. 고주파 전원에 접속되고 그리고 기판을 올려놓는 하부 전극과, 당해 하부 전극과 대향하여 배치된 상부 전극과, 상기 하부 전극 및 상기 상부 전극 사이의 처리 공간을 구비하고, 상기 상부 전극은, 상기 올려놓여진 기판의 중앙부와 대향하는 내측 전극과, 상기 올려놓여진 기판의 주연부와 대향하는 외측 전극으로 분할되고, 상기 내측 전극과 상기 외측 전극은 서로 전기적으로 절연되는 기판 처리 장치에 있어서, 상기 처리 공간에 발생한 플라즈마를 이용하여 상기 올려놓여진 기판에 플라즈마 처리를 행하는 기판 처리 방법으로서,
    상기 상부 전극에 있어서의 상기 처리 공간에 면하는 부분을 유전체 부재로 덮고,
    상기 내측 전극에 직류 전압을 인가함과 함께, 상기 외측 전극을 전기적으로 접지시키는 것을 특징으로 하는 기판 처리 방법.
  6. 제5항에 있어서,
    상기 플라즈마 처리의 처리 조건에 따라서 상기 내측 전극에 인가되는 직류 전압의 값을 변경하는 것을 특징으로 하는 기판 처리 방법.
  7. 제6항에 있어서,
    상기 플라즈마 처리에 있어서, 상기 올려놓여진 기판의 중앙부의 에칭 레이트(etching rate)가 상기 올려놓여진 기판의 주연부의 에칭 레이트보다도 높을 경우, 상기 내측 전극에 정(正)의 직류 전압을 인가하는 것을 특징으로 하는 기판 처리 방법.
  8. 제6항에 있어서,
    상기 플라즈마 처리에 있어서, 상기 올려놓여진 기판의 중앙부의 에칭 레이트가 상기 올려놓여진 기판의 주연부의 에칭 레이트보다도 낮을 경우, 상기 내측 전극에 부(負)의 직류 전압을 인가하는 것을 특징으로 하는 기판 처리 방법.
  9. 제5항에 있어서,
    상기 플라즈마 처리의 처리 조건에 따라서, 상기 유전체 부재를 두께, 유전율 및 표면적 중 적어도 1개가 변경된 다른 유전체 부재로 변경하는 것을 특징으로 하는 기판 처리 방법.
  10. 제5항에 있어서,
    상기 외측 전극을, 가변 콘덴서를 갖는 용량 가변 필터를 개재하여 전기적으로 접지시키고,
    상기 플라즈마 처리의 처리 조건에 따라서 상기 가변 콘덴서의 용량을 변경시킬 때, 상기 용량 가변 필터에 있어서의 전위차를, 상기 용량 가변 필터의 전압 특성에 있어서의 공진점을 포함하는 범위에서 변경하는 것을 특징으로 하는 기판 처리 방법.
  11. 제5항에 있어서,
    상기 외측 전극에도 다른 직류 전압을 인가하여,
    상기 플라즈마 처리의 처리 조건에 따라서 상기 내측 전극의 전위와 상기 외측 전극의 전위와의 차이를 조정하는 것을 특징으로 하는 기판 처리 방법.
  12. 제11항에 있어서,
    상기 외측 전극의 전위가 상기 내측 전극의 전위와 반대의 전위가 되도록, 상기 외측 전극에 다른 직류 전압을 인가하는 것을 특징으로 하는 기판 처리 방법.
KR1020110056274A 2010-06-14 2011-06-10 기판 처리 방법 및 기판 처리 장치 KR20110136717A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010134991A JP2012004160A (ja) 2010-06-14 2010-06-14 基板処理方法及び基板処理装置
JPJP-P-2010-134991 2010-06-14

Publications (1)

Publication Number Publication Date
KR20110136717A true KR20110136717A (ko) 2011-12-21

Family

ID=45095391

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110056274A KR20110136717A (ko) 2010-06-14 2011-06-10 기판 처리 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (2) US20110303643A1 (ko)
JP (1) JP2012004160A (ko)
KR (1) KR20110136717A (ko)
CN (1) CN102280339B (ko)
TW (1) TWI544542B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190017889A (ko) * 2016-06-10 2019-02-20 도쿄엘렉트론가부시키가이샤 구리층을 에칭하는 방법
WO2023027199A1 (ko) * 2021-08-23 2023-03-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
WO2014042192A1 (ja) * 2012-09-13 2014-03-20 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
CN103607836A (zh) * 2013-11-27 2014-02-26 苏州市奥普斯等离子体科技有限公司 一种新型等离子体处理装置
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2016225506A (ja) * 2015-06-01 2016-12-28 東京エレクトロン株式会社 表面改質装置、接合システム、表面改質方法、プログラム及びコンピュータ記憶媒体
KR101800321B1 (ko) * 2016-04-18 2017-11-22 최상준 건식 에칭장치
KR101913684B1 (ko) * 2016-10-21 2018-11-01 주식회사 볼트크리에이션 건식 에칭장치 및 그 제어방법
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
JP7246154B2 (ja) * 2018-10-02 2023-03-27 東京エレクトロン株式会社 プラズマ処理装置及び静電吸着方法
JP7412268B2 (ja) * 2020-05-11 2024-01-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111600573B (zh) * 2020-05-31 2021-04-16 诺思(天津)微***有限责任公司 滤波器、多工器、通信设备及滤波器制造方法
CN114334700A (zh) 2020-09-29 2022-04-12 长鑫存储技术有限公司 半导体设备电极板的安装治具

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61278144A (ja) * 1985-06-01 1986-12-09 Anelva Corp プラズマ処理装置
JP2837993B2 (ja) * 1992-06-19 1998-12-16 松下電工株式会社 プラズマ処理方法およびその装置
JPH07226395A (ja) * 1994-02-15 1995-08-22 Matsushita Electric Ind Co Ltd 真空プラズマ処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JP2921499B2 (ja) * 1996-07-30 1999-07-19 日本電気株式会社 プラズマ処理装置
JP4514911B2 (ja) * 2000-07-19 2010-07-28 東京エレクトロン株式会社 プラズマ処理装置
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
JP2008288348A (ja) * 2007-05-16 2008-11-27 Canon Inc プラズマ処理装置及びプラズマ処理方法
JP5231038B2 (ja) * 2008-02-18 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190017889A (ko) * 2016-06-10 2019-02-20 도쿄엘렉트론가부시키가이샤 구리층을 에칭하는 방법
WO2023027199A1 (ko) * 2021-08-23 2023-03-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
US20110303643A1 (en) 2011-12-15
TW201214556A (en) 2012-04-01
TWI544542B (zh) 2016-08-01
JP2012004160A (ja) 2012-01-05
US20150144266A1 (en) 2015-05-28
CN102280339A (zh) 2011-12-14
CN102280339B (zh) 2016-06-22

Similar Documents

Publication Publication Date Title
KR20110136717A (ko) 기판 처리 방법 및 기판 처리 장치
KR102042588B1 (ko) 기판 처리 방법
US8440050B2 (en) Plasma processing apparatus and method, and storage medium
JP5702968B2 (ja) プラズマ処理装置及びプラズマ制御方法
JP5809396B2 (ja) 基板処理方法及び基板処理装置
US20100078129A1 (en) Mounting table for plasma processing apparatus
JP7519525B2 (ja) 基板支持器及びプラズマ処理装置
KR20100020927A (ko) 포커스 링, 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20170132096A (ko) 플라즈마 처리 방법
US20070224817A1 (en) Plasma processing apparatus, plasma processing method, and storage medium
US20210296093A1 (en) Plasma processing apparatus
US11417500B2 (en) Plasma processing apparatus and plasma processing method
KR20210097027A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5923245B2 (ja) 基板除去方法及び記憶媒体
JP5875775B2 (ja) 基板除去方法及び記憶媒体
KR20200040659A (ko) 기판 지지 어셈블리, 플라즈마 처리 장치, 및 플라즈마 처리 방법
JP6114370B2 (ja) 基板処理装置及びその制御方法
JP4885585B2 (ja) プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2021015930A (ja) プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
E902 Notification of reason for refusal
AMND Amendment