KR20030047693A - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR20030047693A
KR20030047693A KR1020020046740A KR20020046740A KR20030047693A KR 20030047693 A KR20030047693 A KR 20030047693A KR 1020020046740 A KR1020020046740 A KR 1020020046740A KR 20020046740 A KR20020046740 A KR 20020046740A KR 20030047693 A KR20030047693 A KR 20030047693A
Authority
KR
South Korea
Prior art keywords
wiring
film
insulating film
layer
plug
Prior art date
Application number
KR1020020046740A
Other languages
English (en)
Other versions
KR100491458B1 (ko
Inventor
도미따가즈오
Original Assignee
미쓰비시덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미쓰비시덴키 가부시키가이샤 filed Critical 미쓰비시덴키 가부시키가이샤
Publication of KR20030047693A publication Critical patent/KR20030047693A/ko
Application granted granted Critical
Publication of KR100491458B1 publication Critical patent/KR100491458B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

메모리 셀이나 로직의 주변 회로부의 평면 사이즈를 소형화하고, 배선 저항을 낮게 하고, 또한 층간 절연막 상의 배선의 레이아웃의 자유도를 확보한 반도체 장치를 얻을 수 있다.
반도체 기판(1)에 형성된 트랜지스터에 포함되는 활성 영역(8)과, 반도체 기판 상에 형성된 배선(54)과, 활성 영역(4) 및 배선(54)을 덮는 층간 절연막(9)과, 층간 절연막을 관통하여, 평면적으로 보아 배선과 활성 영역과의 양방에 중첩되는 형상을 갖는 플러그 배선(15, 15a)을 구비하며, 상기 플러그 배선이 배선과 활성 영역을 전기적으로 접속하고 있다.

Description

반도체 장치{SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치에 관한 것으로, 보다 구체적으로는 트랜지스터에 포함되는 활성 영역과, 배선을 접속하는 하나의 플러그 배선을 이용함으로써, 미세화 및 그 플러그 배선의 저저항화를 실현한 반도체 장치에 관한 것이다.
최근, 반도체 디바이스의 미세화, 고집적화 및 고속화가 진척되어, 좁은 피치에서의 저저항의 플러그 배선이 매우 중요하게 되고 있다. 도 43은 종래의 반도체 장치에서, 제2층 배선(114)까지 형성한 단계에서의 평면도이고, 또한 도 44는 도 43의 XLIV-XLIV선을 따라 취한 단면도이다. 도 43 및 도 44에서, 실리콘 기판(101)에는 소자 분리 절연막(102)이 형성되어, 각 소자 영역을 분리하고 있다. 실리콘 기판 상에 형성된 게이트 산화막(103) 상에는 게이트 전극(104)이 형성되어 있다. 게이트 전극을 형성할 때에 병행하여 형성되고, 게이트 전극과 동일한 구조를 갖는 제1층 배선(154)은 소자 분리 절연막(102) 상에 배치되어 있다.
게이트 산화막 아래의 채널 영역을 사이에 두고, n-도전형 저농도 영역의 익스텐션(106)이 배치되고, 그 익스텐션에 연속하여 n+도전형 고농도 영역의 활성 영역(소스/드레인 영역)(108)이 형성되어 있다. 활성 영역이란, 상기한 n+고농도 영역(108) 및 익스텐션 영역의 양방을 포함한 영역을 가리킨다.
게이트 전극(104)의 측면과 그 아래쪽 부분의 실리콘 기판 표면을 덮도록 측벽 절연막(107)이 형성되고, 이들을 덮도록 층간 절연막(109)이 성막되어 있다. 층간 절연막(109) 상에는 제2층 배선(114)이 형성되어 있다. 이 층간 절연막(109)에는 2개의 컨택트홀(119, 131)이 개구되어 있다. 그 중 하나의 컨택트홀(119)에는 제2층 배선(114)과 제1층 배선(154)을 전기적으로 도통하는 플러그 배선(129)이 매립되어 형성되어 있다. 또한, 다른 컨택트홀(131)에는 제2층 배선(114)과 활성 영역(108)을 도통하는 플러그 배선(133)이 매립되어 형성되어 있다. 이들의 플러그 배선(129, 133)에는 플러그 배선의 측면 및 바닥면에 배리어 메탈(129a, 133a)이 형성되고, 또한 제2층 배선의 바닥부에도 배리어 메탈(114a)이 형성되어 있다.
상기한 도 43 및 도 44에 도시한 바와 같이, 활성 영역(108)과 접촉하는 플러그 배선(133)과, 제1층 배선(154)에 접촉하는 플러그 배선(129)과는, 평면적으로 보아 최소 피치 L 이하로는 좁혀지지 않는다. 이 때문에, 액티브 트랜지스터에 형성된 게이트 전극과 소자 분리 절연막(102) 상의 게이트 전극과는, 최소 피치 L보다도 1.5배∼2배 정도로 넓어, 예를 들면 SRAM(Static Random Access Memory)의 메모리 셀이나 로직의 주변 회로부의 평면 사이즈(레이아웃)가 커지는 문제가 있었다.
또한, 층간 절연막이 두껍게 되어 컨택트홀이 작아지면, 예를 들면 활성 영역(108)과 게이트 전극(104)을 연결하는, 플러그 배선을 포함한 배선의 저항이 매우 커진다고 하는 문제도 있었다.
또한, 활성 영역(108) 상의 플러그 배선(133)과, 제1층 배선(154) 상의 플러그 배선(129)을 층간 절연막(109) 상에서 연결하는 제2층 배선에 포함되는 로컬 배선은 다른 배선의 레이아웃의 자유도를 제한하는 문제도 있었다.
도 1은 본 발명의 실시 형태 1에서의 반도체 장치의 평면도.
도 2는 도 1의 II-II선을 따라 취한 단면도.
도 3은 도 1의 반도체 장치의 제조에 있어서, 게이트 전극을 형성하여 저농도 불순물층을 형성한 단계의 평면도.
도 4는 도 3의 IV-IV 선을 따라 취한 단면도.
도 5는 측벽 절연막을 마스크로 이용하여 고농도 불순물층을 형성한 단계의 단면도.
도 6은 층간 절연막에 컨택트홀을 개구한 단계의 평면도.
도 7은 도 6의 VII-VII선을 따라 취한 단면도.
도 8은 컨택트홀 내에 플러그용의 도전층을 형성한 단계의 평면도.
도 9는 도 8의 IX-IX선을 따라 취한 단면도.
도 10은 본 발명의 실시 형태 2에서의 반도체 장치의 평면도.
도 11은 도 10의 XI-XI선을 따라 취한 단면도.
도 12는 도 10의 반도체 장치의 제조에 있어서, 게이트 전극을 형성하여 저농도 불순물층을 형성한 단계의 평면도.
도 13은 도 12의 XIII-XIII선을 따라 취한 단면도.
도 14는 측벽 절연막을 마스크로 이용하여 고농도 불순물층을 형성한 단계의 단면도.
도 15는 층간 절연막에 컨택트홀을 개구한 단계의 평면도.
도 16은 도 15의 XVI-XVII선을 따라 취한 단면도.
도 17은 컨택트홀 내에 플러그용의 도전층을 형성한 단계의 평면도.
도 18은 도 17의 XVIII-XVIII선을 따라 취한 단면도.
도 19는 본 발명의 실시 형태 3에서의 반도체 장치의 평면도.
도 20은 19의 XX-XX선을 따라 취한 단면도.
도 21은 도 19의 반도체 장치의 제조에 있어서, 게이트 전극을 형성하여 저농도 불순물층을 형성한 단계의 평면도.
도 22는 도 21의 XXII-XXII선을 따라 취한 단면도.
도 23은 측벽 절연막을 마스크로 이용하여 고농도 불순물층을 형성한 단계의 단면도.
도 24는 층간 절연막에 컨택트홀을 개구한 단계의 평면도.
도 25는 도 24의 XXV-XXV 선을 따라 취한 단면도.
도 26은 컨택트홀 내에 플러그용의 도전층을 형성한 단계의 평면도.
도 27은 도 26의 XXVII-XXVII선을 따라 취한 단면도.
도 28은 본 발명의 실시 형태 4에서의 반도체 장치의 평면도.
도 29는 도 28의 XXIX-XXIX선을 따라 취한 단면도.
도 30은 도 28의 반도체 장치의 제조에 있어서, 층간 절연막에 제1 컨택트홀을 개구한 단계의 평면도.
도 31은 도 30의 XXXI-XXXI선을 따라 취한 단면도.
도 32는 도 28의 반도체 장치의 제조에 있어서, 층간 절연막에 제2 컨택트홀을 개구한 단계의 평면도.
도 33은 도 32의 XXXIII-XXXIII선을 따라 취한 단면도.
도 34는 본 발명의 실시 형태 5에서의 반도체 장치의 평면도.
도 35는 도 34의 XXXV-XXXV 선을 따라 취한 단면도.
도 36은 도 34의 반도체 장치의 제조에 있어서, 측벽 절연막을 마스크로 이용하여 고농도 불순물층을 형성한 단계의 단면도.
도 37은 도 36의 XXXVII-XXXVII선을 따라 취한 단면도.
도 38은 도 36의 반도체 장치의 제조에 있어서, 층간 절연막에 제1 컨택트홀을 개구한 단계의 평면도.
도 39는 도 38의 XXXIX-XXXIX선을 따라 취한 단면도.
도 40은 도 36의 반도체 장치의 제조에 있어서, 층간 절연막에 제2 컨택트홀을 개구한 단계의 평면도.
도 41은 컨택트홀 내에 플러그용의 도전층을 형성한 단계의 평면도.
도 42는 도 41의 XLII-XLII선을 따라 취한 단면도.
도 43은 종래의 반도체 장치를 도시하는 평면도.
도 44는 도 43의 XLIV-XLIV 선을 따라 취한 단면도.
<도면의 주요 부분에 대한 부호의 설명>
1 : 실리콘 기판
2 : 소자 분리 절연막
3 : 게이트 절연막
4 : 게이트 전극
6 : 익스텐션(n-도전형 저농도층)
7 : 측벽 내층 절연막
8, 28 : n+도전형 고농도층
9 : 층간 절연막
12, 22, 52 : 컨택트홀
14 : 제2층 배선
14a : 제2 배선층의 하층
15, 25 : 플러그 배선
15a, 24a, 25a : 배리어 메탈
17 : 측벽 외층 스페이서
24 : 제2 배선
32 : 배선홈(트렌치, 컨택트홀)
37 : 레지스트 패턴
37a : 개구부
54 : 배선(제1층 배선)
본 발명은, 반도체 장치의 메모리 셀이나 로직의 주변 회로부의 평면 사이즈를 미세화하는 것을 목적으로 하여, 플러그 배선을 포함한 배선의 전기 저항을 줄이고, 또한 층간 절연막 상에서의 배선의 레이아웃의 자유도를 확대하는 것을 또 다른 목적으로 한다.
본 발명의 반도체 장치는, 반도체 기판에 형성된 트랜지스터에 포함되는 활성 영역과, 반도체 기판 상에 형성된 배선과, 활성 영역 및 배선을 덮는 층간 절연막과, 층간 절연막을 관통하여, 평면적으로 보아 배선과 활성 영역과의 양방에 중첩되는 형상을 갖는 플러그 배선을 구비하며, 상기 플러그 배선이 배선과 활성 영역을 전기적으로 접속하고 있다.
이러한 구성에 의해, 플러그 배선의 전기 저항의 저감을 얻을 수 있다. 또한, 종래 컨택트홀 간격으로 제한되어 있던 SRAM의 메모리 셀 등의 반도체 장치의 평면 사이즈를 더 미세화할 수 있다. 또한, 활성 영역과 상기 배선을 전기적으로 접속하는 것만의 제2층 배선은 불필요하게 된다. 이 때문에, 층간 절연막 상의 제2층 배선의 레이아웃의 자유도를 확대할 수 있다. 상기한 트랜지스터는 전계 효과 트랜지스터(Field Effect Transistor)이면, MOS(Metal-Oxide-Semiconductor) FET 등, 어떠한 트랜지스터라도 된다. 예를 들면, 본 발명의 배선은 활성 영역을 포함하는 트랜지스터 내의 게이트 전극이 아니면, 어떠한 배선이라도 무방하다.
본 발명의 반도체 장치에서는 배선을, 활성 영역을 포함하는 트랜지스터 옆에 위치하는 트랜지스터의 게이트 전극으로 할 수 있다.
이러한 구성에 의해, SRAM, 다단 증폭 장치, 와이어드 로직 회로 등을 미세화하는 것이 가능해진다. 또한, 플러그 배선 자체의 전기 저항을 저감할 수 있다.
본 발명의 반도체 장치에서는 배선을, 활성 영역을 포함하는 트랜지스터가 속하는 소자 영역과 다른 소자 영역을 분리하는 소자 분리 절연막 상에 위치시킬 수 있다.
이러한 구성에 의해, 활성 영역과 배선과의 전기적 접속을 하나의 플러그로 실현할 수 있어, 반도체 장치의 미세화를 추진할 수 있다. 또한, 활성 영역과 배선과의 전기적 접속만을 목적으로 하는 제2층 배선을 형성하는 필요가 없게 된다.
본 발명의 반도체 장치에서는, 배선의 측면은 절연층으로 덮어져 있고, 그 절연층은 배선의 횡단면에 있어서, 배선의 측면과 그 아래쪽 부분의 반도체 기판 표면을 연속하여 덮을 수 있다.
이러한 구성에 의해, 게이트 전극의 측벽 외층 스페이서의 제거 시에 익스텐션 영역의 표면에 손상 등을 주는 것을 회피할 수 있다.
본 발명의 반도체 장치에서는, 배선의 측면은 절연층으로 덮어져 있고, 그 절연층은 배선의 횡단면에 있어서, 배선의 측면만을 덮을 수 있다.
이러한 구성에 의해, 플러그 배선과 활성 영역과의 접촉 면적을 증가시켜, 플러그 배선과 활성 영역과의 계면의 전기 저항을 줄일 수 있다.
활성 영역을 포함하는 트랜지스터와는 별도의 배선 옆에 위치하는 트랜지스터에 포함되는 제2 활성 영역을 형성하고, 플러그 배선은 배선과 활성 영역 외에, 평면적으로 보아 제2 활성 영역에도 중첩되는 형상으로 형성되고, 배선 및 활성 영역과, 제2 활성 영역을 전기적으로 접속할 수 있다.
이러한 구성에 의해, 플러그 횡단면의 면적은 커지고, 플러그 배선의 전기 저항이 감소하고, 또한 3개의 플러그를 하나로 통합하였기 때문에, 대폭적인 미세화를 실현할 수 있다.
<발명의 실시 형태>
본 발명의 실시 형태에 대하여 도면을 이용하여 설명한다.
(실시 형태 1)
도 1은 본 발명의 실시 형태 1을 나타내는 반도체 장치의 평면도이고, 도 2는 도 1의 II-II선을 따라 취한 단면도이다. 도 1에서, 실리콘 기판에 활성 영역(8)이 형성되고 있고, 게이트 전극(4)이 그 활성 영역(8) 위에 배치되어 있다. 또한, 게이트 전극과 동일한 구조를 갖는 배선(제1층 배선)(54)이 게이트 전극과 병행하여 형성되어 있다. 플러그 배선(15)은 활성 영역(8)과 제1층 배선(54)과의 양방에 접촉하고, 활성 영역(8)과 제1층 배선(54)을 전기적으로 접속하고 있다. 또, 플러그 배선(15) 상에 접하여, 제2층 배선(14)이 형성되어 있다.
도 2에 있어서, 실리콘 기판(1)은, 예를 들면 p형 실리콘이고, 10Ω·㎝의 비저항의 실리콘 웨이퍼이다. 게이트 전극(4)은 게이트 절연막(3) 상에 배치되고, 또한 게이트 전극과 동일한 단면 구조의 제1층 배선(54)이 소자 분리 절연막(2) 상에 형성되어 있다.
소자 분리 절연막(2)은 트렌치 분리법을 이용하여 300㎚의 플라즈마 산화막(HDP: High Density Plasma)을 매립함으로써 형성되어 있다. 게이트 산화막(3)은 3㎚의 산질화막(SiON)을 이용할 수 있다. 산화 프로세스 등에 의해 게이트 산화막(3)을 형성하는 경우, 활성 영역 상에는 어떻든 간에, 소자 산화막(2) 상에는 매우 얇은 산화층밖에 형성되지 않는다. 도 2에서의 소자 분리 산화막(2) 상의 게이트 산화막(3)은 그 두께가 과장(誇張)되어 있다. 단, 증착법으로 게이트 절연막을 형성하는 경우에는 활성 영역 위뿐만 아니라 소자 분리 산화막 상에도 명료하게 인식되는 소정 두께의 게이트 산화막이 배치된다.
게이트 전극(4)은 100㎚의 폴리실리콘에 의해 형성된다. 폴리실리콘은 도핑되지 않은 폴리실리콘을 100㎚ 적층 후, n 도전형 영역과 p 도전형 영역에 있어서 각각 패터닝을 행한다. 그 후, n 도전형 영역에는 인(P+)을 10keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 또한 p 도전형 영역에는 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 이 결과, 각각의 영역의 게이트 전극을 형성한다. 실리콘 기판(1)에는 n-도전형 저농도층의 익스텐션(6)이 형성되어 있다. 이 익스텐션(6)은 비소를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 주입함으로써 형성되어 있다. 게이트 전극(4)의 측면 및 그 아래쪽 부분의 실리콘 기판 표면은 측벽 내층 절연막(7)으로 덮여져 있다. 이 측벽 내층 절연막은 두께 10㎚의 질화막으로, L자형으로 형성된다.
실리콘 기판 표면에는, 익스텐션에 연속하여 n+도전형 고농도층(8)이 형성되어 있다. 이 n+도전형 고농도층(8)은 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성된다. 이들을 덮도록 층간 절연막(9)이 형성되어 있다. 이 층간 절연막은, HDP 산화막을 700㎚ 적층함으로써 형성된다.
이 층간 절연막(9)에는 컨택트홀(12)이 제1층 배선(54) 및 활성 영역에 달하도록 개구되어 있다. 그 컨택트홀(12)을 매립하도록, 플러그 배선(15, 15a)이 형성되어 있다. 플러그 배선은 게이트 전극과 활성 영역을 전기적으로 접속하고 있다. 이 플러그 배선은 외층을 구성하는 배리어 메탈(15a)과 그 내측에 형성되는 내층(15)으로 형성되어 있다. 배리어 메탈(15a)은 TiN/Ti=20㎚/20㎚의 복합층이다. 또한 내측에 텅스텐(W)이 CVD(Chemical Vapor Deposition)법에 의해 매립되고, 텅스텐 플러그(15)가 형성되어 있다.
제2층 배선(14)이 플러그 배선(15, 15a) 상에 전기적으로 접속되어 형성되어 있다. 이 제2층 배선은 두께 100㎚의 텅스텐(W)층(14)과 TiN/Ti=20㎚/20㎚의 적층막(14a)으로 형성되어 있다.
이와 같이 하여, 활성 영역(8)과 게이트 전극(4)이 넓은 단면 형상의 플러그(15, 15a)에 의해 접속됨으로써, 플러그의 전기 저항을 저하시킬 수 있다. 또한, 종래의 홀 피치로 결정되어 있던 개소의 레이아웃을 축소하는 것이 가능해진다.
다음에 도 3∼도 9를 이용하여, 본 실시 형태의 반도체 장치의 제조 방법을설명한다. 도 3 및 도 4에 도시한 바와 같이, 실리콘 기판(1)에 STI(Shallow Trench Isolation)법으로 300㎚의 트렌치 분리막(2)을 형성한다. 다음에, 게이트 산화막, 예를 들면 산질화막(SiON)(3)을 3㎚ 형성한다. 그 위에 게이트 전극(4)으로서, 비도핑된 폴리실리콘을 100㎚ 퇴적한다. 이 게이트 전극의 형성에 병행하여, 게이트 전극과 동일한 구조의 제1층 배선(54)을 형성한다.
계속해서, n 도전형 영역이 개구되도록 레지스트를 패터닝하여, 예를 들면 인(P+)을 10keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 마찬가지로, p 도전형 영역도, 레지스트 마스크를 이용하여, 예를 들면 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 다음에 레지스트를 이용하여, 드라이 에칭에 의해 패터닝하여 게이트 전극(4)을 형성한다. 계속해서, 익스텐션을 형성하는 n-도전형 저농도층(6)을, 예를 들면 비소(As+)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 주입함으로써 형성한다.
다음에, 도 5에 도시한 바와 같이, 측벽 내층 절연막(7)으로서, 질화막을 10㎚ 형성한다. 계속해서 측벽 외층 스페이서(17)가 되는 산화막을 80㎚를 퇴적하여 에치백함으로써 형성한다. 계속해서, n+도전형 고농도층(8)을, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입하여 형성한다.
다음에, 웨트 에칭에 의해 측벽 외층 스페이서(17)만을 제거한다. 또, 이때 측벽 내층 절연막(7)의 질화막은 웨트 에칭하지 않고 L자형으로 남긴다. 다음에, 층간 절연막(9)으로서, HDP 산화막을 1000㎚ 퇴적하고, 계속해서 300㎚ CMP(Chemical Mechanical Polishing)법에 의해 연마하여 형성한다. 계속해서, 도 6 및 도 7에 도시한 바와 같이, 0.2㎛ 직경의 컨택트홀(12)을 레지스트 마스크를 이용하여 드라이 에칭에 의해 개구한다. 이 때 게이트 전극(4) 및 n+도전형 고농도층(8)과, 층간 절연막(9)이 충분히 높은 에칭 선택비를 갖는 조건으로 에칭한다.
계속해서, 도 8 및 도 9에 도시한 바와 같이, 플러그 배선의 배리어 메탈층(15a)으로서, TiN/Ti를 각각 20㎚/20㎚ 형성한다. 계속해서, 텅스텐(W)을 200㎚ CVD법으로 퇴적하고, 또한 CMP법에 의해 연마하여, 텅스턴 플러그(15)를 형성한다.
이 후, 제2층 배선을, 텅스텐100㎚의 상층(14)과, TiN/Ti =20㎚/20㎚의 적층막의 하층(14a)으로 퇴적하고, 패터닝함으로써 형성한다.
상기한 바와 같이 하여, 활성 영역(8)과 게이트 전극(4)이 넓은 단면 형상의 플러그 배선(15, 15a)에 의해 접속됨으로써, 플러그 배선의 저항을 저감할 수 있다. 또한 종래, 컨택트홀 피치로 결정되어 있던 부분의 레이아웃을 축소하는 것이 가능해진다.
본 실시 형태의 구성 부분 (a1)∼(a10)은, 예를 들면 다음과 같이 변형할 수 있다. 본 발명의 범위 내에 있으면, 다른 변형예도 가능한 것은 물론이다.
(a1) 게이트 전극: 본 실시 형태에서는 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 도핑된 실리콘을 이용해도 된다. 또한, 게이트 전극의 재료로서 WSi2, CoSi2또는 NiSi2의 실리사이드와 적층 구조로 한 폴리 실리사이드를 이용해도 된다. 또한, W, Al, Ru, Pt 등의 메탈과 적층 구조로 한 폴리메탈을 이용할 수도 있고, W, Al 등의 메탈 그 자체를 이용할 수도 있다.
(a2) 활성 영역: 여기서는 활성 영역(8)의 n+도전형 고농도층에 플러그 배선을 직접, 전기적으로 접속하였다. 그러나, 활성 영역으로부터 플러그 배선으로의 전기 저항을 낮게 하기 위해서, 활성 영역의 표면층을 실리사이드화 하거나, WSi2나 CoSi2나 NiSi2로 구성할 수도 있다.
(a3) 플러그 배선: 여기서는 플러그 배선(15)의 재질이 텅스텐 플러그인 경우에 대해 설명하였다. 그러나, 플러그 배선은 다결정 실리콘, 또는 Al, TiN, Ru 등의 메탈로 형성해도 된다.
(a4) 플러그 배선의 배리어 메탈: 상기한 바와 같이, 플러그 배선의 배리어 메탈(15a)를 CVD법으로 형성하는 경우에 대해 설명하였다. 그러나, 플러그 배선의 배리어 메탈(15a)을 스퍼터법으로 형성해도 된다. CVD법 또는 스퍼터법에 의해, 플러그 배선의 배리어 메탈(15a)을 TiN/Ti의 적층막으로 형성하는 경우, Ti 막 두께 및 TiN 막 두께는, 각각 1∼100㎚인 것이 바람직하다.
(a5) 제2층 배선: 상술된 바와 같이, 플러그 배선(15, 15a)를 형성한 후, 제2층 배선(14)을 형성하는 경우에 대해 설명하였다. 이 경우, 컨택트 개구후 텅스텐 층을 만들고, 그대로 배선을 형성해도 된다. 또한, 단순히 게이트 전극(4)과활성 영역(8)을 접속하는 것 뿐이라면, 제2층 배선(14)이 없어도 된다.
(a6) 게이트 전극의 상층 절연막: 여기서는 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 그 위에 산화막, 질화막, 또는 이들의 적층막이 하드 마스크로서 배치되어 있어도 된다. 이 경우, 플러그 배선을 형성할 때, 에칭 조건을 최적화함으로써 플러그 배선을 게이트 전극(4)에 용이하게 전기적으로 접속할 수 있다.
(a7) 층간 절연막: 여기서는, 층간 절연막(9)으로서, 게이트 전극(4) 및 활성 영역(8)을 덮도록, 직접 HDP 산화막을 성막하고, 그 후 컨택트홀을 개구하는 경우에 대해 설명하였다. 상기 이외의 방법으로서, 질화막 또는 질화막과 산화막과의 적층막을 성막한 후, 컨택트홀(12)을 SAC(Self Align Contact) 방식으로 에칭하거나 개구해도 된다.
(a8) 소자 분리 절연막 등: 여기서는, 소자 분리 절연막(2)이나 층간 절연막(9)으로서, HDP 산화막을 성막하는 경우에 대해 설명하였지만, FSG(F-Doped Silicate Glass)막, BPSG막, PSG막, SiOC막, 유기막, SiON막, SiC막, SiCF막 등을 이용해도 된다.
(a9) 측벽 내층 절연막: 본 실시 형태에서는, 측벽 내층 절연막(7)으로서 질화막이 10㎚인 경우에 대해 설명하였지만, 질화막은 1∼50㎚라도 된다. 또한, 측벽 외층 스페이서(17) 제거 시에 선택비가 크게 취해지도록 하면, 측벽 절연막(7)으로서 산화막이나 산화 질화막과 산화막과의 적층 구조를 이용해도 된다.
(a10) 측벽 외층 스페이서: 본 실시 형태에서는, 측벽 외층 스페이서(17)가산화막인 경우에 대해 설명하였지만, 측벽 외층 스페이서(17)의 제거 시에 에칭 선택비를 크게 취할 수 있으면, PSG(Phospho-Silicate Glass)나 BPSG(Boro-Phospho-Silicate Glass)를 이용해도 된다.
(실시 형태 2)
도 10은 본 발명의 실시 형태 2에서의 반도체 장치의 평면도이고, 도 11은 도 10에서의 XI-XI선을 따라 취한 단면도이다. 본 실시 형태는 실시 형태 1의 변형예로, 3개의 플러그를 하나의 공유 컨택트 플러그로 통합한 점에 특징이 있다.
도 10에서, 2개의 게이트 전극(4, 24)과, 이들 게이트 전극에 병행하는 배선(제1층 배선)(54)이 형성되어 있다. 우측의 게이트 전극(4)을 사이에 두고 활성 영역(8)이 배치되고, 또한 좌측의 게이트 전극(24)을 사이에 두고 활성 영역(28)이 배치되어 있다. 플러그 배선(25)은 중앙의 제1층 배선(54) 및 그 양측의 활성 영역(8, 28)의 적어도 3 부분와 접촉하여, 이들을 전기적으로 접속한다. 또한, 그 플러그 배선(25) 상에 제2층 배선(24)이 배치되어 있다.
도 11에서, 실리콘 기판(1)은, 예를 들면 p 도전형 실리콘 웨이퍼이다. 이 실리콘 기판 상에 각 소자 영역을 분리하는 소자 분리 절연막(2)이 형성되어 있다. 이 소자 분리 절연막은 트렌치 분리법을 이용하여, 300㎚의 플라즈마 산화막을 매립함으로써 형성되어 있다. 게이트 전극 아래에는 게이트 산화막(3)이 형성되고, 이 게이트 절연막은 예를 들면 3㎚의 산질화막(SiON)에 의해 형성한다. 게이트 절연막 상의 게이트 전극(4)은 100㎚의 폴리실리콘으로 형성되어 있다. 게이트 전극(4)의 측면 및 그 아래쪽 부분의 실리콘 기판을 덮도록 측벽 내층 절연막(7)이배치되어 있다. 이 측벽 내층 절연막은, 질화막10㎚를 적층하고, 단면 형상이 L자형으로 형성되어 있다. 실리콘 기판 표면에는 저농도 영역의 익스텐션 영역(6)에 연속하여 n+도전형 고농도층(8)이 형성되어 있다. 이 n+도전형 고농도층(8)은 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성된다.
이들을 덮도록, 층간 절연막(9)이 HDP 산화막을 700㎚ 적층함으로써 형성되어 있다. 이 층간 절연막(9)에는 컨택트홀(22)이 개구되어 있고, 제1층 배선(54)과, 그 양측의 활성 영역(8, 28)이 컨택트홀(22)을 매립하는 플러그(25)에 의해 전기적으로 접속되어 있다. 이 플러그의 외층을 형성하는 배리어 메탈(25a)은 TiN/Ti=20㎚/20㎚의 복층 구조로 한다. 또한, 상기 배리어 메탈의 내측층(25)에는 텅스텐이 CVD법으로 매립되어 텅스텐 플러그가 형성되어 있다.
이와 같이 하여, 제1층 배선(54)과 그 양측의 활성 영역(8, 28)이 하나의 플러그(25, 25a)에 의해 전기적으로 접속됨으로써 플러그의 저저항화를 달성할 수 있다. 또한, 홀 간격의 최소 치수에 의해 결정되어 있던 부분에서의 레이아웃 축소가 가능하게 된다. 또한, 종래 로컬 배선으로 2개 또는 3개의 컨택트홀을 접속하고 있는 부분에서는, 이 플러그 접속에 의해 로컬 배선이 없어도 된다. 이 경우, 제2층 배선에서의 레이아웃의 자유도가 증가하고, 엄격한 피치 부분에서, 레이아웃 조건의 완화 등도 가능해진다.
다음에 도 12∼도 18을 이용하여 본 발명의 반도체 장치의 제조 방법을 설명한다. 도 12 및 도 13에 도시한 바와 같이, 우선 실리콘 기판(1)에 STI(ShallowTrench Isolation)법에 의해, 300㎚의 트렌치 분리 절연막(2)을 형성한다. 다음에, 게이트 절연막(3)으로서 산질화막(SiON)을 3㎚ 형성한다. 그 위에, 게이트 전극(4)을 비도핑된 다결정 실리콘을 100㎚ 퇴적하고, n 도전형 영역에 레지스트 마스크를 이용하여 인(P+)을 10keV의 가속 에너지 및 5E15cm-2의 밀도로 주입한다. 다음에, 마찬가지로 p 도전형 영역도, 레지스트 마스크에 의해 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 계속해서, 레지스트 마스크를 이용하여 드라이 에칭에 의해 게이트 전극을 형성한다. 이 게이트 전극 형성 시에, 소자 분리 절연막(2) 상에 제1층 배선(54)을, 게이트 전극과 동일한 구조로 형성한다.
또한, 익스텐션을 형성하는 n-도전형 저농도층(6)을, 비소(As+)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도에 의해 주입하여 형성한다.
다음에, 도 14에 도시한 바와 같이, 측벽 내층 절연막이 되는 질화막을 10㎚, 측벽 외층 스페이서가 되는 산화막(17)을 80㎚ 퇴적하여 에치백함으로써, 측벽을 형성한다. 이 후, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입하여 n+도전형 고농도층(8)을 형성한다.
다음에, 도 15 및 도 16에 도시한 바와 같이, 웨트 에칭에 의해 스페이서 산화막(17)만을 제거한다. 다음에, 층간 절연막(9)을 HDP 산화막을 1000㎚ 퇴적하여, 700㎚ CMP 연마함으로써 형성한다. 또한, 컨택트홀(22)을, 0.2㎛ 직경으로 레지스트 마스크를 이용하여, 우선 웨트 에칭으로 개구한다. 이 때 제1층 배선(54) 및 n+도전형 고농도층(8, 28)과, 층간 절연막(9)과의 에칭 선택비가 충분히 높아지는 조건으로 에칭한다.
다음에, 도 17 및 도 18에 도시한 바와 같이, 컨택트홀의 바닥벽 및 측벽에 배리어 메탈(25a)로서, 적층막 TiN/Ti를 20㎚/20㎚로 성막한다. 또한, 텅스텐을 200㎚, CVD법으로 퇴적한 후, CMP법을 이용하여 연마하여 텅스텐 플러그(25)를 형성한다. 또한, 제2층 배선을, 텅스텐 100㎚의 상층(14)과, TiN/Ti=20㎚/20㎚의 하층(14a)의 적층막으로 퇴적하여, 패터닝하여 형성한다.
이와 같이 하여, 제1층 배선(54)과, 그 양측의 활성 영역(8, 28)을 플러그(25)에 의해 접속함으로써, 플러그의 전기 저항을 대폭 저감하는 것이 가능해진다. 또, 홀 간격의 최소 치수로 결정되어 있던 부분에서의 레이아웃을 축소할 수 있게 된다. 또한, 종래, 제2층 배선에 포함되는 로컬 배선을 이용하여 2개 또는 3개의 플러그를 이용하여 접속하고 있던 부분에서는, 이 하나의 플러그 접속에 의해 상기 3 부분을 전기적으로 접속하는 것이 가능해진다. 또한, 상기 제2층 배선에 포함되는 로컬 배선을 형성하지 않아도 된다. 이 결과, 상층 배선에서의 레이아웃의 자유도가 증가하여, 매우 좁은 피치 부분에서의 레이아웃 완화 등도 가능하게 된다.
본 실시 형태의 구성 부분 (b1)∼(b10)은, 예를 들면 다음과 같이 변형할 수 있다. 본 발명의 범위 내에 있으면, 다른 변형예도 가능한 것은 물론이다.
(b1) 게이트 전극: 본 실시 형태에서는 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 도핑된 실리콘을 이용해도 된다. 또한, 게이트 전극의 재료로서, WSi2, CoSi2, 또는 NiSi2의 실리사이드와 적층 구조로 한 폴리 실리사이드를 이용해도 된다. 또한, W, Al, Ru, Pt 등의 메탈과 적층 구조로 한 폴리메탈을 이용할 수 있고, W, Al 등의 메탈 그 자체를 이용할 수도 있다.
(b2) 활성 영역: 여기서는, 활성 영역(8)의 n+도전형 고농도층에 플러그 배선을 직접, 전기적으로 접속하였다. 그러나, 활성 영역으로부터 플러그 배선으로의 전기 저항을 낮게 하기 위해서, 활성 영역의 표면층을 실리사이드화, WSi2나 CoSi2나 NiSi2로 구성할 수도 있다.
(b3) 플러그 배선: 여기서는, 플러그 배선(15)의 재질이 텅스텐 플러그인 경우에 대해 설명하였다. 그러나, 플러그 배선은, 다결정 실리콘, 또는 Al, TiN, Ru 등의 메탈로 형성해도 된다.
(b4) 플러그 배선의 배리어 메탈: 여기서는, 플러그 배선의 배리어 메탈(15a)을 CVD법으로 형성하는 경우에 대해 설명하였다. 그러나, 플러그 배선의 배리어 메탈(15a)을 스퍼터법으로 형성해도 된다. CVD법 또는 스퍼터법에 의해, 플러그 배선의 배리어 메탈(15a)를 TiN/Ti의 적층막으로 형성하는 경우, Ti 막 두께 및 TiN 막 두께는 각각 1∼100㎚인 것이 바람직하다.
(b5) 제2층 배선: 상기한 바와 같이, 플러그 배선(15, 15a)를 형성한 후, 제2층 배선(114)을 형성하는 경우에 대해 설명하였다. 이 경우, 컨택트 개구 후텅스텐의 성막을 하고, 그대로 배선을 형성해도 된다. 또한, 단순히 게이트 전극(4)과 활성 영역(8)을 접속하는 것만이라면, 제2층 배선(14)이 없어도 된다.
(b6) 게이트 전극의 절연막: 여기서는, 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 그 위에, 산화막, 질화막, 또는 이들의 적층막이 하드 마스크로서 배치되어 있어도 된다. 이 경우, 플러그 배선을 형성할 때, 에칭 조건을 최적화함으로써 플러그 배선을 게이트 전극(4)에 용이하게 전기적으로 접속할 수 있다.
(b7) 층간 절연막: 여기서는, 층간 절연막(9)으로서, 게이트 전극(4) 및 활성 영역(8)을 덮도록, 직접 HDP 산화막을 성막하고, 그 후 컨택트홀을 개구하는 경우에 대해 설명하였다. 상기 이외의 방법으로서, 질화막 또는 질화막과 산화막과의 적층막을 성막한 후, 컨택트홀(12)을 SAC(Self Align Contact) 방식으로 에칭하거나 개구해도 된다.
(b8) 소자 분리 절연막 등: 여기서는 소자 분리 절연막(2)이나 층간 절연막(9)으로서, HDP 산화막을 성막하는 경우에 대해 설명하였지만, FSG(F-Doped Silicate Glass)막, BPSG막, PSG막, SiOC막, 유기막, SiON막, SiC막, SiCF막 등을 이용해도 된다.
(b9) 측벽 내층 절연막: 여기서는, 측벽 내층 절연막(7)으로서 질화막이 10㎚인 경우에 대해 설명하였지만, 질화막은 1∼30㎚라도 된다. 또한, 측벽 외층 스페이서(17)를 제거 시에 선택비가 크게 취해지도록 하면, 측벽 내층 절연막(7)으로서 산화막이나 산화 질화막과 산화막과의 적층 구조를 이용해도 된다.
(b10) 측벽 외층 스페이서: 여기서는, 측벽 외층 스페이서(17)가 산화막인 경우에 대해 설명하였지만, 측벽 외층 스페이서(17)의 제거 시에, 선택비를 크게 취할 수 있으면, PSG(Phospho-Silicate Glass)나 BPSG(Boro-Phospho-Silicate Glass)를 이용해도 된다.
(실시 형태 3)
도 19는 본 발명의 실시 형태 3에서의 반도체 장치의 평면도이고, 도 20은 도 19의 XX-XX선을 따라 취한 단면도이다. 본 실시 형태 3은 실시 형태 1의 변형예로서, L 자형의 측벽 내층 절연막 대신에 I자형의 측벽 내층 절연막을 적용하고 있는 점에 특징이 있다.
도 19에서, 실리콘 기판 상에 활성 영역(8)이 형성되고, 그 활성 영역을 사이에 두고 위치하는 게이트 전극(4)과, 그 게이트 전극과 병행하는 제1층 배선(54)이 형성되어 있다. 제1층 배선(54) 및 활성 영역(8)에 전기적으로 접속되는 플러그(15) 상에 제2층 배선(14)이 배치된다.
도 20에서, 실리콘 기판(1)은 예를 들면 p형 실리콘 웨이퍼이고, 그 실리콘 웨이퍼에 형성된 각 소자 영역을 분리하도록 소자 분리 절연막(2)이 형성되어 있다. 소자 분리 절연막(2)은 트렌치 분리법을 이용하여 300㎚의 플라즈마 산화막이 매립되어 형성되어 있다. 게이트 전극(4) 아래에는, 예를 들면 3㎚의 산질화막(SiON)으로 이루어지는 게이트 절연막(3)이 형성되어 있다. 게이트 전극(4)은 100㎚의 폴리실리콘으로 형성되며, 그 측면이 I형의 측벽 내층 절연막(7)으로 덮여져 있다. 측벽 내층 절연막(7)은 질화막 10㎚를 퇴적한 후, 에치백에 의해 I자형으로 형성되어 있다.
실리콘 기판 상에는 익스텐션이 형성되는 n-도전형 저농도층(6)이 형성되어 있다. 이 n-도전형 저농도층(6)은 비소를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45° 각도로 주입함으로써 형성되어 있다. 상기한 익스텐션에 연속하도록, n+도전형 고농도층(8)이 형성되어 있다. n+도전형 고농도층(8)은 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성되어 있다. 이들을 덮도록 층간 절연막(9)이 형성되어 있다. 층간 절연막(9)은 HDP 산화막을 700㎚ 퇴적함으로써 형성되어 있다.
이 층간 절연막(9)에는 컨택트홀(12)이 개구되며, 그 컨택트홀을 매립하도록 플러그(15, 15a)가 형성되어 있다. 플러그(15, 15a)는 제1층 배선(54)과 활성 영역(8)을 전기적으로 접속하고 있다. 플러그의 외층을 이루는 배리어 메탈(15a)은 TiN/Ti=20㎚/20㎚의 복층막으로 구성된다. 그 내층의 플러그 본체(15)는 텅스텐이 CVD법으로 매립되어, 텅스텐 플러그가 형성되어 있다. 플러그(15, 15a)에 전기적으로 접속되도록, 제2층 배선(14, 14a)이 형성되어 있다. 제2층 배선은 텅스텐 100㎚의 상층(14)과, TiN/Ti=20㎚/20㎚의 하층(14a)과의 적층막으로 형성되어 있다.
다음에 도 21∼도 27을 이용하여 본 실시 형태에서의 반도체 장치의 제조 방법을 설명한다.
도 21 및 도 22에 도시한 바와 같이, 실리콘 기판(1)에 STI법으로, 300㎚의 트렌치 분리 절연막(2)을 형성한다. 다음에, 게이트 절연막으로서, 산질화막(SiON)(3)을 3㎚ 성막한 후, 게이트 전극(4) 및 제1층 배선(54)을 형성한다. 이 경우, 비도핑된 폴리실리콘을 100㎚ 퇴적하여, n 도전형 영역에 레지스트 마스크를 이용하여, 예를 들면 인(P+)을 10keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 마찬가지로 p 도전형 영역에도 레지스트 마스크를 이용하여, 예를 들면 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 또한, 레지스트 마스크를 사용하여 드라이 에칭하여 도전층 부분을 완성한다. 이 후, 측벽 내층 절연막(7)으로서, 질화막 10㎚를 성막하여, 에치백함으로써 상기 도전층의 측면에 I형 형상으로 형성한다. 계속해서, 익스텐션이 형성되는 n-도전형 저농도층(6)을, 비소(As+)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 주입하여 형성한다.
다음에, 도 23에 도시한 바와 같이, 측벽 외층 스페이서(17)를, BPSG를 80㎚ 퇴적하여 에치백함으로써 형성한다. 또한, n+도전형 고농도층(8)을, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입하여 형성한다.
다음에, 기상불산법에 의해 측벽 외층 스페이서의 BPSG 산화막(17),만을 제거한다. 또, 이 때 측벽 내층 절연막의 질화막(7)이 에칭되지 않도록 I자형으로남긴다. 다음에 층간 절연막(9)으로서, HDP 산화막을 1000㎚ 퇴적하여, 300㎚ CMP 연마함으로써 형성한다. 계속해서, 도 24 및 도 25에 도시한 바와 같이, 컨택트홀(12)을 0.2㎛ 직경으로 레지스트 마스크를 이용하여 드라이 에칭하여 개구한다. 이 때, 게이트 전극과 마찬가지의 구조를 갖는 제1층 배선(54) 및 n+도전형 고농도층(8)과, 층간 절연막(9)이 충분히 높은 에칭 선택비가 되는 조건으로 에칭한다.
다음에, 도 26 및 도 27에 도시한 바와 같이, 컨택트홀(12)을 매립하도록 플러그를 형성한다. 플러그의 배리어 메탈(15a)은 TiN/Ti의 2층막을, 두께 20㎚/20㎚로 하여 형성된다. 또한, 그 내측에 텅스텐을 200㎚의 두께로 CVD법으로 퇴적하고, 계속해서 CMP법을 이용하여 연마함으로써 텅스텐 플러그(15)를 형성한다. 또한, 제2층 배선(14)을, 텅스텐 100㎚의 상층(14)과, TiN/Ti=20㎚/20㎚의 하층(14a)과의 적층막을 형성하여 배선에 패터닝한다.
이와 같이 하여, 활성 영역(8)과 제1층 배선(54)이 플러그에 의해 접속됨으로써, 플러그의 저항이 저감될 수 있고, 또한 종래, 컨택트홀 피치로 결정되어 있는 부분의 레이아웃 축소가 가능해진다.
또한, I자형의 측벽 내층 절연막을 적용함으로써 L자형의 측벽 내층 절연막보다도, 기판의 활성 영역에 접속하는 미세한 컨택트홀의 바닥면의 접촉 면적을 확보할 수 있다. 이 결과, 컨택트 저항의 저저항화가 가능해진다. 또한, 트랜지스터도, 게이트 오버랩 용량을 저감할 수 있어, 한층 더 회로의 고속화가 가능하다.
본 실시 형태의 구성 부분 (c1)∼(c10)은, 예를 들면 다음과 같이 변형할 수 있다. 본 발명의 범위 내에 있으면, 다른 변형예도 가능한 것은 물론이다.
(c1) 게이트 전극: 본 실시 형태에서는 게이트 전극(4)이 폴리실리콘인 경우에 대하여 설명하였지만, 도핑된 실리콘을 이용해도 된다. 또한, 게이트 전극의 재료로서, WSi2, CoSi2, 또는 NiSi2의 실리사이드와 적층 구조로 한 폴리 실리사이드를 이용해도 된다. 또한, W, Al, Ru, Pt 등의 메탈과 적층 구조로 한 폴리 메탈을 이용할 수도 있고, W, Al 등의 메탈 그 자체를 이용할 수도 있다.
(c2) 활성 영역: 여기서는, 활성 영역(8)의 n+도전형 고농도층에 플러그 배선을 직접, 전기적으로 접속하였다. 그러나, 활성 영역으로부터 플러그 배선으로의 전기 저항을 낮게 하기 위해서, 활성 영역의 표면층을 실리사이드화, WSi2나 CoSi2나 NiSi2로 구성할 수도 있다.
(c3) 플러그 배선: 여기서는, 플러그 배선(15)의 재질이 텅스텐 플러그인 경우에 대해 설명하였다. 그러나, 플러그 배선은, 다결정 실리콘, 또는 Al, TiN, Ru 등의 메탈로 형성해도 된다.
(c4) 플러그 배선의 배리어 메탈: 여기서는 플러그 배선의 배리어 메탈(15a)을 CVD법으로 형성하는 경우에 대해 설명하였다. 그러나, 플러그 배선의 배리어 메탈(15a)을 스퍼터법으로 형성해도 된다. CVD법 또는 스퍼터법에 의해, 플러그 배선의 배리어 메탈(15a)을 TiN/Ti의 적층막으로 형성하는 경우, Ti 막 두께 및 TiN 막 두께는, 각각 1∼100㎚인 것이 바람직하다.
(c5) 제2층 배선: 여기서는 플러그 배선(15, 15a)을 형성한 후, 제2층 배선(114)을 형성하는 경우에 대해 설명하였다. 이 경우, 컨택트 개구 후에 텅스텐의 성막을 하고, 그대로 배선을 형성해도 된다. 또한, 단순히 게이트 전극(4)과 활성 영역(8)을 접속하는 것뿐이라면, 제2층 배선(14)이 없어도 된다.
(c6) 게이트 전극의 절연막: 여기서는 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 그 위에, 산화막, 질화막, 또는 이들의 적층막이 하드마스크로서 배치되어 있어도 된다. 이 경우, 플러그 배선을 형성할 때, 에칭 조건을 최적화함으로써 플러그 배선을 게이트 전극(4)에 용이하게 전기적으로 접속할 수 있다.
(c7) 층간 절연막: 여기서는, 층간 절연막(9)으로서, 게이트 전극(4) 및 활성 영역(8)을 덮도록, 직접 HDP 산화막을 성막하고, 그 후 컨택트홀을 개구하는 경우에 대해 설명하였다. 상기 이외의 방법으로서, 질화막 또는 질화막과 산화막과의 적층막을 성막한 후, 컨택트홀(12)을 SAC(Self Align Contact) 방식으로 에칭하거나 개구해도 된다.
(c8) 소자 분리 절연막 등: 여기서는 소자 분리 절연막(2)이나 층간 절연막(9)으로서, HDP 산화막을 성막하는 경우에 대해 설명하였지만, FSG(F-Doped Silicate Glass)막, BPSG막, PSG막, SiOC막, 유기막, SiON막, SiC막, SiCF막 등을 이용해도 된다.
(c9) 측벽 내층 절연막: 여기서는, 측벽 내층 절연막(7)으로서 질화막이 10㎚인 경우에 대해 설명하였지만, 질화막은 1∼50㎚라도 된다. 또한, 측벽 외층 스페이서(17)를 제거 시에 선택비가 크게 취해지도록 하면, 측벽 내층 절연막(7)으로서, 산화막이나 산화 질화막과 산화막과의 적층 구조를 이용해도 된다.
(c10) 측벽 외층 스페이서: 여기서는, 측벽 외층 스페이서(17)가, BPSG인 경우에 대해 설명하였지만, 측벽 외층 스페이서(17)의 제거 시에 선택비를 크게 취할 수 있으면, PSG, NSG, PTEOS, BPTEOS, TEOS 등의 절연막을 이용해도 된다.
(실시 형태 4)
도 28은 본 발명의 실시 형태 4에서의 반도체 장치의 평면도이다. 또한, 도 29는 도 28에서의 XXIX-XXIX선을 따라 취한 단면도이다. 본 실시 형태는 실시 형태 1의 변형예로서, 컨택트홀 개구 시에 듀얼 다마신(Dual Damascene)의 제조 플로우를 이용하는 점에 특징이 있다.
도 28에서, 실리콘 기판 상에 활성 영역(8)이 형성되고, 그 활성 영역을 사이에 두고 게이트 전극(4)이 형성되어 있다. 또한, 게이트 전극과 병행하여 제1층 배선(54)이 형성되어 있다. 플러그(15)가 2개의 컨택트홀(12, 32)을 매립하도록 형성되며, 이 플러그(15)에 의해 제1층 배선(54)과 활성 영역(8)이 전기적으로 접속되어 있다. 2개의 컨택트홀(12, 32) 중, 한쪽은 배선홈이라고 부르는 편이 적당하기 때문에, 배선홈(32)라고 부르는 경우도 있다. 이 컨택트홀(12)과, 배선홈(32)은 어느 쪽을 먼저 개구해도 된다.
도 29에 있어서, 실리콘 기판(1)은 p형 실리콘 웨이퍼이고, 실리콘 기판(1)에 형성된 각 소자 영역을 분리하도록 소자 분리 절연막(2)이 형성되어 있다. 소자 분리 절연막(2)으로서, 트렌치 분리법을 이용하여 300㎚의 플라즈마 산화막이매립되어 형성되어 있다. 게이트 절연막(3)으로서, 3㎚의 산질화막(SiON)이 형성되고, 그 위에 게이트 전극(4)이 형성되어 있다. 게이트 전극으로서는, 100㎚의 폴리실리콘이 형성되어 있다. 익스텐션이 형성되는 n-도전형 저농도층(6)이, 비소(As)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 주입함으로써 형성되어 있다.
게이트 전극(4)이 형성되는 공정에 병행하여, 소자 분리 절연막(2) 상에 게이트 전극과 동일한 구조의 제1층 배선(54)이 형성된다. 따라서, 제1층 배선(54)의 측면에도, 다음에 설명한 바와 같이, 게이트 전극과 동일한 측벽 절연막이 형성된다.
게이트 전극의 측면에는 측벽 내층 절연막(7)이 형성되고, 질화막 10㎚를 퇴적한 후, 단면 형상이 L자형으로 형성되어 있다. 익스텐션에 연속하는 n+도전형 고농도층이, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성되어 있다. 이들을 덮도록 층간 절연막(9)이 HDP 산화막을 700㎚ 퇴적함으로써 형성되어 있다.
이 층간 절연막(9)에는 2개의 컨택트홀(12, 32) 또는 하나의 컨택트홀(12)과, 배선홈(32)이 개구되고, 이들을 매립하도록 플러그(15)가 형성되어 있다. 이 플러그(15)에 의해, 게이트 전극과 동일한 구조의 제1층 배선(54)과 활성 영역(8)이 전기적으로 접속되어 있다. 이 플러그의 배리어 메탈(15a)로서 CVD법에 의해TaN/Ta=20㎚/20㎚가 형성되어 있다. 이 배리어 메탈의 내층에 구리(Cu)가 도금법으로 매립되고, Cu 듀얼 다마신이 형성되어 있다.
이와 같이 하여, 활성 영역(8)과 제1층 배선(54)이, Cu 듀얼 다마신의 플러그(15)에 의해 접속됨으로써, 플러그의 전기 저항을 저감할 수 있다. 또한, 종래, 홀 피치로 결정되어 있던 부분의 레이아웃 축소가 가능해진다.
다음에, 도 30∼도 33을 이용하여 본 발명의 반도체 장치의 제조 방법을 설명한다.
우선, 실리콘 기판(1)에 STI법에 의해, 300㎚ 트렌치 분리 절연막(2)을 형성한다. 다음에, 게이트 절연막으로서, 산질화막(SiON)(3)을 3㎚ 성막한다. 게이트 절연막의 상에 게이트 전극(4)을 형성하기 위해서, 비도핑된 폴리실리콘을 100㎚ 퇴적한다. n 도전형 영역에는 레지스트 마스크를 이용하여, 인(P+)을 10keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 또한, 마찬가지로 p 도전형 영역도 레지스트 마스크를 이용하여, 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 이 후, 레지스트 마스크를 이용하여 드라이 에칭함으로써 게이트 전극(4) 및 제1층 배선을 형성한다. 또한, 익스텐션이 형성되는 n-도전형 저농도층(6)을, 비소(As+)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 형성한다.
이 게이트 전극 형성의 처리 공정에 병행하여, 소자 분리 절연막(2) 상에 게이트 전극과 동일한 구조를 갖는 제1층 배선(54)이 형성된다. 이 제 l 층배선(54)의 측면에는, 다음에 설명한 바와 같이, 측벽 내층 절연막이나 측벽 외층 스페이서가 형성되고, 불순물 주입후 측벽 외층 스페이서는 제거된다.
n-도전형 저농도층(6)의 형성에 이어, 게이트 전극의 측면 및 그 아래쪽의 실리콘 기판 상을 덮도록, 측벽 내층 절연막으로서 질화막(7)을 10㎚ 형성한다. 계속해서, 측벽 외층 스페이서를, 산화막(17)을 80㎚ 퇴적하여 에치백함으로써 형성한다. 이들 측벽 내층 절연막 및 측벽 외층 스페이서를 마스크로 하여, n+도전형 고농도층(8)을, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입하여 형성한다.
다음에, 도 31에 도시한 바와 같이, 층간 절연막(9)을, HDP 산화막을 1000㎚ 퇴적하여, 300㎚ CMP 연마함으로써 형성한다. 계속해서, 제1 컨택트홀(12)을, 레지스트 마스크를 이용하여, 드라이 에칭하여 0.2㎛ 직경의 홀을 개구한다(도 30, 도 31). 이 제1 컨택트홀은 활성 영역(8)에 달하도록 개구된다. 이 때, n+도전형 고농도층(8)과, 층간 절연막(9)과는 충분히 높은 에칭 선택비를 갖는 조건으로 에칭한다.
다음에, 도 32 및 도 33에 도시한 바와 같이, 레지스트 마스크를 이용하여, 제2 컨택트홀인 트렌치(32)를 드라이 에칭에 의해 형성한다. 이 제2 컨택트홀은 제1층 배선(54)에 달하도록 개구된다. 이 때, n+도전형 고농도층(8) 및 제1층 배선(54)과, 층간 절연막(9)은 충분히 높은 에칭 선택비가 취해지는 조건으로 에칭한다.
다음에, 도 29에 도시한 바와 같이, 플러그의 배리어 메탈(15a)을, TaN/Ta를 20㎚/20㎚의 두께로 CVD법에 의해 성막한다. 또한, 배리어 메탈의 내측에 구리를 400㎚의 두께로 도금 법에 의해 퇴적하고, 또한 CMP법에 의해 연마하여 Cu 듀얼 다마신(15)을 형성한다.
이와 같이 하여, 활성 영역(8)과 제1층 배선(54)이 Cu 듀얼 다마신의 플러그(15, 15a)에 의해 전기적으로 접속됨으로써, 플러그의 전기 저항을 저감할 수 있다. 또한, 종래, 홀 피치로 결정되어 있던 부분의 레이아웃 축소가 가능해진다.
본 실시 형태의 구성 부분 (d1)∼(d10)은, 예를 들면 다음과 같이 변형할 수 있다. 본 발명의 범위 내에 있으면, 다른 변형예도 가능한 것은 물론이다.
(d1) 게이트 전극: 본 실시 형태에서는 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 도핑된 실리콘을 이용해도 된다. 또한, 게이트 전극의 재료로서, WSi2, CoSi2, 또는 NiSi2의 실리사이드와 적층 구조로 한 폴리 실리사이드를 이용해도 된다. 또한, W, Al, Ru, Pt 등의 메탈과 적층 구조로 한 폴리메탈을 이용할 수도 있고, W, Al 등의 메탈 그 자체를 이용할 수 있다.
(d2) 활성 영역: 여기서는, 활성 영역(8)의 n+도전형 고농도층에 플러그 배선을 직접, 전기적으로 접속하였다. 그러나, 활성 영역으로부터 플러그 배선으로의 전기 저항을 낮게 하기 위해서, 활성 영역의 표면층을 실리사이드화, WSi2나CoSi2나 NiSi2로 구성할 수도 있다.
(d3) 플러그 배선: 상기한 바와 같이, 플러그 배선이 Cu인 경우에 대해 설명하였지만, 이외에, 다결정 실리콘이나 Al, TiN, Ru 등의 메탈을 이용할 수 있다.
(d4) 플러그 배선의 배리어 메탈: 상기에, 플러그 배선의 배리어 메탈이 CVD법에 의해 형성된 경우에 대해 설명하였지만, 스퍼터법으로 형성해도 된다. 또한 Ta나 TaN을 막 두께 1∼100㎚로 형성해도 된다. 또한, 배리어 메탈의 재질로서, Ta, TaN, WN, WSiN, Ti, TiN이나 이들의 적층막을 이용해도 된다.
(d5) 제2층 배선: 여기서는, 플러그 배선(15, 15a)를 형성한 후, 제2층 배선(14)을 형성하는 경우에 대해 설명하였다. 이 경우, 컨택트 개구 후 텅스텐의 성막을 하고, 그대로 배선을 형성해도 된다. 또한, 단순히 게이트 전극(4)과 활성 영역(8)을 접속하는 것뿐이라면, 제2층 배선(14)이 없어도 된다.
(d6) 게이트 전극의 상층 절연막: 여기서는, 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 그 위에, 산화막, 질화막, 또는 이들의 적층막이 하드 마스크로서 배치되어 있어도 된다. 이 경우, 플러그 배선을 형성할 때, 에칭 조건을 최적화함으로써 플러그 배선을 게이트 전극(4)에, 용이하게 전기적으로 접속할 수 있다.
(d7) 층간 절연막: 여기서는, 층간 절연막(9)으로서, 게이트 전극(4) 및 활성 영역(8)을 덮도록, 직접 HDP 산화막을 성막하고, 그 후 컨택트홀을 개구하는 경우에 대해 설명하였다. 상기 이외의 방법으로서, 질화막 또는 질화막과 산화막과의 적층막을 성막한 후, 컨택트홀(12)을 SAC(SelfAlign Contact) 방식으로 에칭하거나 개구해도 된다.
(d8) 소자 분리 절연막 등: 여기서는, 소자 분리 절연막(2)이나 층간 절연막(9)으로서, HDP 산화막을 성막하는 경우에 대해 설명하였지만, FSG(F-Doped Sili cate Glass)막, BPSG막, PSG막, SiOC막, 유기막, SiON막, SiC막, SiCF막 등을 이용해도 된다.
(d9) 측벽 내층 절연막: 여기서는, 측벽 절연막(7)으로서 질화막이 10㎚인 경우에 대해 설명하였지만, 질화막은 1∼50㎚라도 된다. 또한, 측벽 외층 스페이서(17)를 제거 시에 선택비가 크게 취해지도록 하면, 측벽 절연막(7)으로서, 산화막이나, 산화 질화막과 산화막과의 적층 구조를 이용해도 된다.
(d10) 측벽 외층 스페이서: 여기서는, 측벽 외층 스페이서(17)가 산화막인 경우에 대해 설명하였지만, 측벽 외층 스페이서(17)의 제거 시에, 선택비를 크게 취할 수 있으면, PSG(Phospho-Silicate Glass)나 BPSG(Boro-Phospho-Silicate Glass)를 이용해도 된다.
(실시 형태 5)
도 34는 본 발명의 실시 형태 5에서의 반도체 장치의 평면도이고, 도 35는 도 34의 XXXV-XXXV선을 따라 취한 단면도이다. 본 실시 형태는 실시 형태 1의 변형예로서, 컨택트홀의 개구 방법으로서 웨트 에칭과 드라이 에칭을 조합하여 이용하는 점에 특징이 있다.
도 34에서, 실리콘 기판 상에 활성 영역(8)이 형성되고, 그 활성 영역을 사이에 두고 게이트 전극(4)이 형성되어 있다. 또한, 게이트와 동일한 층에 제1층 배선(54)이 형성되어 있다. 플러그(15)가 상기 에칭에 의해서 개구된 컨택트홀(12)을 매립하도록 형성되며, 이 플러그(15)에 의해 제1층 배선(54)과 활성 영역(8)이 전기적으로 접속되어 있다.
도 35에서, 실리콘 기판(1)은 p형 실리콘 웨이퍼이고, 그 실리콘 기판에 소자 분리 절연막(2)이 형성되어 있다. 이 소자 분리 절연막(2)은 트렌치 분리법을 이용하여 300㎚의 플라즈마 산화막이 매립되어 형성되어 있다. 게이트 산화막(3)은 9㎚의 산질화막(SiON)이며, 그 위에 게이트 전극(4)이 배치되어 있다. 이 게이트 전극(4)은 100㎚의 폴리실리콘에 의해 형성되어 있다. 게이트 전극의 측면 및 그 아래쪽 부분의 실리콘 기판을 덮는 절연막(7)은 질화막 10㎚를 퇴적하여, L자형으로 형성되어 있다. 게이트 전극(4)과 제1층 배선(54)은 동일한 단면 구조를 갖고 있다.
트랜지스터의 활성 영역을 구성하는 n+도전형 고농도층(8)은, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성되어 있다. 이들을 덮도록, 층간 절연막(9)이, HDP 산화막을 500㎚ 퇴적함으로써 형성되어 있다. 이 층간 절연막(9)에는 컨택트홀(12)이 개구되어 있다. 이 컨택트홀을 매립하도록 형성된 플러그(15, 15a)에 의해, 게이트 전극과 활성 영역이 전기적으로 접속되어 있다. 플러그의 배리어 메탈(15a)은 TiN/Ti=20㎚/20㎚의 복층 구조에 의해 형성되어 있다. 이 배리어 메탈의 내측에 텅스텐이 CVD법으로 매립되어, 텅스텐 플러그(15)가형성되어 있다. 이 플러그(15)에 접하도록, 제2층 배선이 상층(14a)의 텅스텐 100㎚과, 하층(14a)의 TiN/Ti=20㎚/20㎚의 적층막으로 형성되어 있다.
이와 같이 하여, 활성 영역(8)과 제1층 배선(54)이 플러그(15, 15a)에 의해 전기적으로 접속됨으로써, 플러그의 전기 저항을 저감할 수 있다. 또한, 종래, 컨택트홀 피치로 결정되어 있던 부분의 레이아웃 축소가 가능해진다.
다음에 도 36∼도 42를 이용하여 본 발명의 반도체 장치의 제조 방법에 대하여 설명한다. 도 36 및 도 37에 도시한 바와 같이, 실리콘 기판(1)에 STI법에 의해, 300㎚의 트렌치 분리(2)를 형성한다. 다음에, 게이트 산화막 예를 들면 산질화막(SiON)(3)을 3㎚ 성막한다. 다음에, 게이트 전극(4)으로서, 비도핑된 폴리실리콘을 100㎚ 퇴적하여, n 도전형 영역에 레지스트 마스크를 이용하여, 인(P+)을 10keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. p 도전형 영역도 마찬가지로 레지스트 마스크를 이용하여 붕소(B+)를 3keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입한다. 그 후, 레지스트 마스크를 이용하여, 드라이 에칭에 의해 게이트 전극을 형성한다. 이 때, 소자 분리 절연막 상에 제1층 배선(54)도 동시에 형성되어 있는 것은 물론이다.
또한, 익스텐션이 형성되는 n-도전형 저농도층(6)을, 비소(As+)를 30keV의 가속 에너지, 1E14㎝-2의 밀도 및 45°의 각도로 주입함으로써 형성한다.
계속해서 측벽 내층 절연막으로서, 질화막(7)을 10㎚ 형성하고, 그 위에 측벽 외층 스페이서가 되는 산화막(17)을 80㎚ 퇴적하여 에치백함으로써 형성한다. 또한 n+도전형 고농도층(8)을, 비소를 50keV의 가속 에너지 및 5E15㎝-2의 밀도로 주입함으로써 형성한다. 다음에, 도 38 및 도 39에 도시한 바와 같이, 웨트 에칭에 의해 산화막(17)만을 제거한다.
계속해서, 층간 절연막(9)을, HDP 산화막을 1000㎚ 퇴적하여, 500㎚ CMP 연마함으로써 형성한다. 또한 컨택트홀(52)을 0.2㎛ 직경으로 레지스트 마스크(37)를 이용하여, 우선 웨트 에칭으로 개구한다. 웨트 에칭에서는 레지스트 마스크(37)의 개구부(37a)로부터 약액이 침입하여, 층간 절연막(9)을 도 39에 도시하는 단면 형상으로 개구한다. 이 때 제1층 배선(54)과 층간 절연막(9)은 충분히 높은 에칭 선택비가 취해지는 조건으로 에칭한다.
다음에, 앞의 레지스트 마스크(37)를 그대로 이용하여, 컨택트홀을 파내려가도록, 드라이 에칭에 의해 컨택트홀(52)을 완성한다(도 40). 이 때, 제1층 배선(54) 및 n+도전형 고농도층(8)과, 층간 절연막(9)은 충분히 높은 에칭 선택비가 취해지는 조건으로 에칭한다.
다음에, 도 41 및 도 42에 도시한 바와 같이, 컨택트홀(52)을 매립하도록 플러그 배선(15)을 성막한다. 이 플러그 배선의 배리어 메탈은 TiN/Ti=20㎚/20㎚의 복층막(15a)에 의해 구성한다. 이 배리어 메탈의 내측에 다시 텅스텐을 200㎚, CVD법으로 퇴적하고, CMP를 이용하여 텅스텐 플러그(15)를 형성한다. 또한 제2층 배선을, 상층(14)의 텅스텐 100㎚과, 하층(14a)의 TiN/Ti=20㎚/20㎚의 적층막을 퇴적하고, 패터닝한다.
이와 같이 하여, 활성 영역(8)과 제1층 배선(54)이 플러그 배선(15)에 의해 접속됨으로써, 플러그의 전기 저항을 저감할 수 있다. 또한, 종래, 컨택트홀 피치로 결정되어 있던 부분의 레이아웃 축소가 가능해진다.
본 실시 형태의 구성 부분(e1)∼(e10)은, 예를 들면 다음과 같이 변형할 수 있다. 본 발명의 범위 내에 있으면, 다른 변형 예도 가능한 것은 물론이다.
(e1) 게이트 전극: 본 실시 형태에서는, 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 도핑된 실리콘을 이용해도 된다. 또한, 게이트 전극의 재료로서, WSi2, CoSi2, 또는 NiSi2의 실리사이드와 적층 구조로 한 폴리 실리사이드를 이용해도 된다. 또한, W, Al, Ru, Pt 등의 메탈과 적층 구조로 한 폴리메탈을 이용할 수도 있고, W, Al 등의 메탈 그 자체를 이용할 수도 있다.
(e2) 활성 영역: 여기서는, 활성 영역(8)의 n+도전형 고농도층에 플러그 배선을 직접, 전기적으로 접속하였다. 그러나, 활성 영역으로부터 플러그 배선으로의 전기 저항을 낮게 하기 위해서, 활성 영역의 표면층을 실리사이드화, WSi2나 CoSi2나 NiSi2로 구성할 수도 있다.
(e3) 플러그 배선: 여기서는 플러그 배선(15)의 재질이 텅스텐 플러그인 경우에 대해 설명하였다. 그러나, 플러그 배선은, 다결정 실리콘, 또는 Al, TiN, Ru 등의 메탈로 형성해도 된다.
(e4) 플러그 배선의 배리어 메탈: 여기서는 플러그 배선의 배리어 메탈(15a)를 CVD법으로 형성하는 경우에 대해 설명하였다. 그러나, 플러그 배선의 배리어 메탈(15a)를 스퍼터법으로 형성해도 된다. CVD법 또는 스퍼터법에 의해, 플러그 배선의 배리어 메탈(15a)를 TiN/Ti의 적층막으로 형성하는 경우, Ti 막 두께 및 TiN 막 두께는, 각각 1∼100㎚ 인 것이 바람직하다.
(e5) 제2층 배선: 여기서는 플러그 배선(15, 15a)를 형성한 후, 제2층 배선(14)을 형성하는 경우에 대해 설명하였다. 이 경우, 컨택트 개구 후 텅스텐의 성막을 하고, 그대로 배선을 형성해도 된다. 또한, 단순히 게이트 전극(4)과 활성 영역(8)을 접속하는 것뿐이라면 제2층 배선(14)이 없어도 된다.
(e6) 게이트 전극의 상층 절연막: 여기서는, 게이트 전극(4)이 폴리실리콘인 경우에 대해 설명하였지만, 그 위에, 산화막, 질화막, 또는 이들의 적층막이 하드 마스크로서 배치되어 있어도 된다. 이 경우, 플러그 배선을 형성할 때, 에칭 조건을 최적화함으로써 플러그 배선을 게이트 전극(4)에 용이하게 전기적으로 접속할 수 있다.
(e7) 층간 절연막: 여기서는, 층간 절연막(9)으로서, 게이트 전극(4) 및 활성 영역(8)을 덮도록, 직접 HDP 산화막을 성막하고, 그 후 컨택트홀을 개구하는 경우에 대해 설명하였다. 상기 이외의 방법으로서, 질화막 또는 질화막과 산화막과의 적층막을 성막한 후, 컨택트홀(12)을 SAC(Self Align Contact) 방식으로 에칭하거나 개구해도 된다.
(e8) 소자 분리 절연막 등: 여기서는, 소자 분리 절연막(2)이나 층간 절연막(9)으로서, HDP 산화막을 성막하는 경우에 대해 설명하였지만, FSG(F-DopedSilicate Glass)막, BPSG막, PSG막, SiOC막, 유기막, SiON막, SiC막, SiCF 막 등을 이용해도 된다.
(e9) 측벽 내층 절연막: 여기서는, 측벽 내층 절연막(7)으로서 질화막이 10㎚인 경우에 대해 설명하였지만, 질화막은 1∼90㎚라도 된다. 또한, 측벽 외층 스페이서(17)를 제거 시에 선택비가 크게 취해지도록 하면, 측벽 내층 절연막(7)으로서, 산화막이나, 산화 질화막과 산화막과의 적층 구조를 이용해도 된다.
(e10) 측벽 외층 스페이서: 여기서는, 측벽 외층 스페이서(17)가 산화막인 경우에 대해 설명하였지만, 측벽 외층 스페이서(17)의 제거 시에, 선택비를 크게 취할 수 있으면, PSG(Phospho-Silicate Glass)나 BPSG(Boro-Phospho-Silicate Glass)를 이용해도 된다.
본 발명의 반도체 장치 및 그 제조 방법을 이용함으로써, 예를 들면 SRAM의 메모리 셀이나 로직의 주변 회로부의 평면 사이즈를 미세화할 수 있다. 또한, 플러그 배선을 포함한 배선의 전기 저항을 대폭 줄일 수 있다. 또한, 층간 절연막 상에서의 배선의 레이아웃의 자유도를 확대하는 것이 가능해진다.

Claims (3)

  1. 반도체 기판에 형성된 트랜지스터에 포함되는 활성 영역과,
    상기 반도체 기판 상에 형성된 배선과,
    상기 활성 영역 및 상기 배선을 덮는 층간 절연막과,
    상기 층간 절연막을 관통하여, 평면적으로 보아 상기 배선과 상기 활성 영역과의 양방에 중첩되는 형상을 갖는 플러그 배선을 구비하며,
    상기 플러그 배선이 상기 배선과 상기 활성 영역을 전기적으로 접속하고 있는 반도체 장치.
  2. 제1항에 있어서,
    상기 배선은 상기 활성 영역을 포함하는 트랜지스터의 옆에 위치하는 트랜지스터의 게이트 전극인 반도체 장치.
  3. 제1항에 있어서,
    상기 배선은 상기 활성 영역을 포함하는 트랜지스터가 속하는 소자 영역과 다른 소자 영역을 분리하는 소자 분리 절연막 상에 위치하는 반도체 장치.
KR10-2002-0046740A 2001-12-10 2002-08-08 반도체 장치 KR100491458B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00376017 2001-12-10
JP2001376017A JP2003179132A (ja) 2001-12-10 2001-12-10 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
KR20030047693A true KR20030047693A (ko) 2003-06-18
KR100491458B1 KR100491458B1 (ko) 2005-05-25

Family

ID=19184298

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0046740A KR100491458B1 (ko) 2001-12-10 2002-08-08 반도체 장치

Country Status (6)

Country Link
US (1) US6724085B2 (ko)
JP (1) JP2003179132A (ko)
KR (1) KR100491458B1 (ko)
CN (1) CN1426106A (ko)
DE (1) DE10236682A1 (ko)
TW (1) TW550687B (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100473476B1 (ko) * 2002-07-04 2005-03-10 삼성전자주식회사 반도체 장치 및 그 제조방법
US20070269974A1 (en) * 2002-08-23 2007-11-22 Park Hee-Sook Methods for forming a metal contact in a semiconductor device in which an ohmic layer is formed while forming a barrier metal layer
KR100459717B1 (ko) * 2002-08-23 2004-12-03 삼성전자주식회사 반도체 소자의 금속 콘택 형성 방법
JP4786126B2 (ja) * 2003-06-04 2011-10-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7100216B2 (en) * 2003-10-15 2006-09-05 Impact Innovative Products, Llc Garment with energy dissipating conformable padding
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
US7187036B2 (en) * 2004-03-31 2007-03-06 Taiwan Semiconductor Manufacturing Company Connection structure for SOI devices
DE102004024659B4 (de) * 2004-05-18 2014-10-02 Infineon Technologies Ag Halbleiterbauteil
JP5090671B2 (ja) * 2005-08-01 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置
US7429524B2 (en) * 2005-09-14 2008-09-30 Texas Instruments Incorporated Transistor design self-aligned to contact
US7663237B2 (en) 2005-12-27 2010-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Butted contact structure
JP2007194468A (ja) * 2006-01-20 2007-08-02 Renesas Technology Corp 半導体装置およびその製造方法
KR100809330B1 (ko) * 2006-09-04 2008-03-05 삼성전자주식회사 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
JP4503627B2 (ja) * 2007-03-29 2010-07-14 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
US8952547B2 (en) * 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
US20090121357A1 (en) * 2007-11-08 2009-05-14 International Business Machines Corporation Design structure for bridge of a seminconductor internal node
JP5537020B2 (ja) * 2008-01-18 2014-07-02 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
CN101621030B (zh) * 2008-07-02 2011-01-12 中芯国际集成电路制造(上海)有限公司 具有多晶硅接触的自对准mos结构
KR101076887B1 (ko) * 2009-06-26 2011-10-25 주식회사 하이닉스반도체 반도체 메모리소자의 랜딩플러그 형성방법
US8426310B2 (en) * 2010-05-25 2013-04-23 Freescale Semiconductor, Inc. Method of forming a shared contact in a semiconductor device
CN102437160A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种静态存储器有源区结构和sram版图
JP5957840B2 (ja) 2011-10-04 2016-07-27 ソニー株式会社 半導体装置の製造方法
JP2013165224A (ja) * 2012-02-13 2013-08-22 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US8947902B2 (en) 2012-03-06 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
US9349436B2 (en) 2012-03-06 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
US9254998B2 (en) * 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate
JP5670603B1 (ja) 2013-04-26 2015-02-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法及び半導体装置
JP5731073B1 (ja) * 2013-06-17 2015-06-10 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
JP2014090200A (ja) * 2013-12-27 2014-05-15 Fujitsu Semiconductor Ltd 半導体装置とその製造方法
KR102145825B1 (ko) 2014-07-28 2020-08-19 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US20160126336A1 (en) * 2014-10-29 2016-05-05 Globalfoundries Inc. Method of improved ca/cb contact and device thereof
KR102557123B1 (ko) 2017-01-02 2023-07-19 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US11139212B2 (en) * 2018-09-28 2021-10-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9219268D0 (en) * 1992-09-11 1992-10-28 Inmos Ltd Semiconductor device incorporating a contact and manufacture thereof
KR960006693B1 (ko) * 1992-11-24 1996-05-22 현대전자산업주식회사 고집적 반도체 접속장치 및 그 제조방법
US5401681A (en) * 1993-02-12 1995-03-28 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells
US5874359A (en) * 1995-04-27 1999-02-23 Industrial Technology Research Institute Small contacts for ultra large scale integration semiconductor devices without separation ground rule
JPH09293865A (ja) 1996-04-26 1997-11-11 Ricoh Co Ltd 半導体装置及び半導体製造方法
KR19990002976A (ko) * 1997-06-24 1999-01-15 윤종용 버티드 콘택 형성방법
KR100247933B1 (ko) * 1997-08-22 2000-03-15 윤종용 버티드 콘택을 갖는 반도체 소자 및 그 제조방법
JP3239940B2 (ja) * 1997-09-10 2001-12-17 日本電気株式会社 半導体装置及びその製造方法
US6352890B1 (en) * 1998-09-29 2002-03-05 Texas Instruments Incorporated Method of forming a memory cell with self-aligned contacts
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US6177304B1 (en) * 1999-04-26 2001-01-23 Chartered Semiconductor Manufacturing Ltd. Self-aligned contact process using a poly-cap mask
US6534389B1 (en) * 2000-03-09 2003-03-18 International Business Machines Corporation Dual level contacts and method for forming
KR20020003043A (ko) * 2000-06-30 2002-01-10 박종섭 반도체소자의 콘택 형성 방법
KR20020011473A (ko) * 2000-08-02 2002-02-09 박종섭 반도체 소자의 콘택 형성방법

Also Published As

Publication number Publication date
US6724085B2 (en) 2004-04-20
DE10236682A1 (de) 2003-06-26
JP2003179132A (ja) 2003-06-27
KR100491458B1 (ko) 2005-05-25
TW550687B (en) 2003-09-01
US20030107133A1 (en) 2003-06-12
CN1426106A (zh) 2003-06-25

Similar Documents

Publication Publication Date Title
KR100491458B1 (ko) 반도체 장치
US6316801B1 (en) Semiconductor device having capacitive element structure and multilevel interconnection structure and method of fabricating the same
US6498089B2 (en) Semiconductor integrated circuit device with moisture-proof ring and its manufacture method
US8759891B2 (en) Semiconductor device comprising capacitor and method of fabricating the same
KR100791339B1 (ko) 평탄화 저항 패턴을 포함하는 복합칩 반도체 소자 및 그제조 방법
US7511328B2 (en) Semiconductor device having raised cell landing pad and method of fabricating the same
US20020025665A1 (en) Method of forming a metal to polysilicon contact in oxygen environment
WO1999049508A1 (en) Process for fabricating an integrated circuit with a self-aligned contact
KR20040051069A (ko) MIM(Metal-Insulator-Metal)커패시터를 갖는 반도체 소자
JP2001203263A (ja) 半導体集積回路装置の製造方法および半導体集積回路装置
US20020145156A1 (en) Semiconductor device and method for manufacturing the same
JP4050876B2 (ja) 半導体集積回路装置とその製造方法
KR20010014937A (ko) 반도체 장치 및 그 제조 방법
KR100273987B1 (ko) 디램 장치 및 제조 방법
US8674404B2 (en) Additional metal routing in semiconductor devices
JPH04283963A (ja) ダイナミックram及びその製造法
US20080251824A1 (en) Semiconductor memory device and manufacturing method thereof
US20050186743A1 (en) Method for manufacturing semiconductor device
JPH08204012A (ja) 半導体装置及びその製造方法
WO2000067324A1 (fr) Circuit integre, son procede de fabrication, et procede de production d&#39;un dessin de masque
KR20010067084A (ko) 반도체 장치 및 반도체 장치 제조방법
US6776622B2 (en) Conductive contact structure and process for producing the same
JPH05243519A (ja) 半導体メモリ装置
US7372157B2 (en) Semiconductor device including titanium wires and manufacturing method therefor
JP3415462B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090508

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee