KR102164568B1 - 낮은-k 유전 필름을 패턴화시키는 방법 - Google Patents

낮은-k 유전 필름을 패턴화시키는 방법 Download PDF

Info

Publication number
KR102164568B1
KR102164568B1 KR1020157014373A KR20157014373A KR102164568B1 KR 102164568 B1 KR102164568 B1 KR 102164568B1 KR 1020157014373 A KR1020157014373 A KR 1020157014373A KR 20157014373 A KR20157014373 A KR 20157014373A KR 102164568 B1 KR102164568 B1 KR 102164568B1
Authority
KR
South Korea
Prior art keywords
low
dielectric layer
layer
plasma process
dielectric
Prior art date
Application number
KR1020157014373A
Other languages
English (en)
Other versions
KR20150079931A (ko
Inventor
스리니바스 디. 네마니
제레미아 티. 펜더
퀴니귄 조
드미트리 루보미르스키
세르게이 쥐. 베로스토치이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150079931A publication Critical patent/KR20150079931A/ko
Application granted granted Critical
Publication of KR102164568B1 publication Critical patent/KR102164568B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

낮은-k 유전 필름들을 패턴화시키는 방법이 개시된다. 예로서, 낮은-k 유전 필름을 패턴화시키는 방법은 기판 상에 배치된 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화킴을 포함한다. 본 방법은 또한 무질소 플라즈마 공정으로 낮은-k 유전층의 노출된 부분들을 개질시킴을 포함한다. 본 방법은 또한 마스크 층 및 낮은-k 유전층의 비개질된 부분들에 선택적인 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거함을 포함한다.

Description

낮은-K 유전 필름을 패턴화시키는 방법{METHOD OF PATTERNING A LOW-K DIELECTRIC FILM}
관련 출원들에 대한 상호-참조
본 출원은 2012년 11월 1일자 출원된 U.S. 가출원 제61/721,414호의 우선권을 주장하며, 이의 전체 내용들은 본원에 참조로 포함된다.
1) 분야
본 발명의 구체예들은 반도체 가공 분야, 및 특히, 낮은-K 유전 필름들을 패턴화시키는 방법들에 관한 것이다.
2) 관련 기술의 설명
반도체 제작에서, 낮은-k 유전체는 이산화규소에 비해 작은 유전 상수를 지니는 물질이다. 낮은-k 유전 물질의 구현은 마이크로전자 장치들의 지속적인 스케일링을 가능하게 하는데 이용되는 여러 전략들 중 하나이다. 디지털 회로들에서, 절연 유전체들은 전도성 부분들(예를 들어, 와이어 인터커넥트(wire interconnect)들 및 트랜지스터들)을 서로 분리시킨다. 부품들이 스케일링되고 트랜지스터들이 함께 더 가깝게 움직임에 따라서, 절연 유전체들은 전하 형성 및 누화가 장치의 성능에 불리하게 영향을 미치는 지점까지 얇아졌다. 이산화규소를 동일한 두께의 낮은-k 유전체로 대체하는 것은 기생 용량(parasitic capacitance)을 감소시켜, 스위칭 속도(switching speed)들이 빨라지고 열 방산(heat dissipation)이 낮아질 수 있다.
그러나, 낮은-k 유전체 가공 기술의 발전에 있어서 상당한 개선들이 필요하다.
본 발명의 구체예들은 낮은-k 유전 필름들을 패턴화시키는 방법들을 포함한다.
구체예에서, 낮은-k 유전 필름을 패턴화시키는 방법은 기판 상에 배치된 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화시킴을 포함한다. 그러한 방법은 또한 낮은-k 유전층의 노출된 부분들을 무질소 플라즈마 공정으로 개질시킴을 포함한다. 그러한 방법은 또한 마스크 층 및 낮은-k 유전층의 비개질된 부분들에 대해 선택적인 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거함을 포함한다.
또 다른 구체예에서, 낮은-k 유전 필름을 패턴화시키는 방법은 기판 상에 배치된 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화시킴을 포함한다. 그러한 방법은 또한 낮은-k 유전층의 노출된 부분들을 플라즈마 공정으로 개질시킴을 포함하고, 그러한 개질은 제 1 보호층 증착 공정 및 제 2 후속의 낮은-k 개질 플라즈마 공정을 이용함으로써 수행된다. 그러한 방법은 또한 마스크 층 및 낮은-k 유전층의 비개질된 부분들에 선택적인 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거함을 포함한다.
또 다른 구체예에서, 에칭 챔버는 무질소 플라즈마 공정에 샘플을 노출시키기 위한 제 1 가공 스테이지를 포함한다. NF3/O2/N2, CF4/O2/N2 및 NF3/NH3로 이루어진 군으로부터 선택된 가스들의 조합물을 기반으로 한 원격 플라즈마 공정에 샘플을 노출시키기 위한 제 2의 상이한 가공 스테이지가 포함된다.
도 1은, 낮은-k 유전층이 통상적인 플루오로카본-기반 에칭 공정에서 형성된 폴리머를 제거하는데 이용되는 통상적인 산화 플라즈마 조건들 하에 손상되거나 영향을 받을 수 있는 메카니즘들을 도시한 것이다.
도 2는 본 발명의 구체예에 따른 낮은-k 유전 필름을 패턴화시키는 방법에서 작업들을 나타내는 흐름도이다.
도 3a-3f는 본 발명의 구체예에 따른 도 2의 흐름도에 상응하는 낮은-k 유전 필름을 패턴화시키는 방법에서 다양한 작업들을 나타내는 횡단면도들을 도시한 것이다.
도 3ca 및 3cb는 본 발명의 구체예에 따른 두 가지 작업들로 수행되는 낮은-k 개질을 나타내는 횡단면도들을 도시한 것이다.
도 4a 및 4b는 본 발명의 구체예에 따른 낮은-k 유전 필름을 패턴화시키는 방법에서 다양한 작업들, 및 상응하는 챔버 구성들을 나타내는 횡단면도들을 도시한 것이다.
도 5a는 본 발명의 구체예에 따른 낮은-k 유전 필름 패턴화 방법이 수행되는 시스템을 도시한 것이다.
도 5b는 본 발명의 구체예에 따른 도 5a의 챔버(502)에 대한 가능한 구성의 개략도를 도시한 것이다.
도 6은 본 발명의 구체예에 따른 예시적인 컴퓨터 시스템의 블록도를 도시한 것이다.
낮은-k 유전 필름들을 패턴화시키는 방법들이 기재된다. 하기 설명에서, 본 발명의 구체예들의 철저한 이해를 제공하기 위해 다수의 특정 세부 사항들, 예컨대, 낮은-k 유전 필름들의 부분들을 개질시키는 것에 대한 특정 플라즈마 처리들 및 효과들이 기술된다. 본 발명의 구체예들은 이러한 특정 세부 사항들 없이 실시될 수 있음이 당업자에게 명백할 것이다. 다른 예시들에서, 포토리소그래피 패턴화 및 마스크 형성을 위한 현상 기술들과 같은 잘 알려진 양태들은 본 발명의 불필요한 모호한 구체예들을 없애기 위해 상세하게 기재되지 않는다. 또한, 도면들에 나타나 있는 다양한 구체예들은 예시적인 도면들이며, 반드시 축적에 따라 도시되지 않음을 이해해야 한다.
낮은 k에 대한 손상 없이 낮은 k 필름들을 에칭시키기 위한 신규한 방법들 및 가공 순서들이 기재된다. 전형적인 유전체 에칭은 플라즈마 가공에 의해 그리고 에칭후 폴리머 잔여물 제거 동안 초래되는 측벽 손상을 유발할 수 있다. 대조적으로, 본원에 기재된 하나 이상의 구체예들은 전형적인 CxFy 가스 화학을 이용하지 않는다. 또한, 에칭후 잔여물은 인-시튜(in-situ)로 제거되어 어떠한 에칭 후 세정에 대한 필요성을 없앨 수 있다. 그러한 2-작업 가공은 2-스테이지 플라즈마 반응기에서 수행될 수 있다(또는, 대안적으로, 마찬가지로 두 개의 독립적인 챔버들에서 수행될 수 있다). 예시적인 구체예에서, 제 1 스테이지 플라즈마는 SiF4(또는 SiCl4, SiH4, TMS, 또는 다른 클로로 또는 플루오로 실란들 전구체들), 아르곤(또는 He, Xe, Ne 불활성 가스), 및 질소(또는, 대체물로서, 산소)를 기반으로 한 건식 에칭 플라즈마이다. 제 1 스테이지는 측벽 상에 성장이 관찰되는 낮은 k 필름들(SiN 또는 SiNOCH 유형의 필름인 것으로 사료됨)을 에칭시키는데 사용된다. 에칭 동안 측벽 상에서 성장하는 필름은 낮은 k 필름을 추가 손상으로부터 보호하는데 사용된다. 제 2 스테이지 플라즈마는 측벽으로부터 에칭 잔여물을 선택적으로 제거하는 원격 플라즈마로서 사용된다. 한 가지 방법은 잔류물과 반응하여 >100C에서 승화하는 염을 형성시키는 NH4F를 형성시키기 위해 NF3/NH3를 사용하는 것이다. 또 다른 방법은 산화물 및 낮은 k에 매우 선택적인 층을 제거하기 위해 O2/N2/NF3 또는 O2/N2/CF4 화학 물질을 사용하는 것이다. 에칭 공정의 두 가지 스테이지들은 개선된 프로파일 제어를 위해 여러 회 반복될 수 있다.
다공성의 낮은-k 유전 물질들, 예를 들어, 다공성 SiCOH는 전형적으로 플라즈마 에칭되기 쉽다. 전형적으로, 에칭 공정 동안 초저-k(ultra-low-k: ULK) 필름에서 Si-CH3 네트워크에 초래되는 손상 주위에서 문제들이 발생한다. 그러한 손상은 ULK 필름의 기계적 통합(mechanical integrity)에 영향을 줄 수 있다. 게다가, 플루오로카본-기반(CxFy-기반) 에칭 공정 동안 형성된 폴리머로부터 초래되는 "F 메모리 효과(F memory effect)"가 있을 수 있다. 또한, 에칭 화학 물질을 낮은-k 유전 필름 내 깊게 분산되게 하는 높은 다공성으로 인해 민감해질 수 있다.
낮은 k 유전 필름들을 패턴화시키는데 있어서 중요한 과제들 중 하나는 에칭 공정 동안 초래되는 손상 수준을 제어하는 것이었다. 전형적으로 이용되는 플라즈마 파라미터들 및 화학은 낮은-k 필름의 탄소 함량을 변화시켜 전기적 거동(k 값 및 누설)의 변화들을 초래한다. 탄소에 대한 손상의 근원 중 하나는 에칭 공정 동안 낮은-k 표면 상에 증착되는 CxFy 폴리머의 스트립(strip) 동안 발생한다. 그러나, 전형적인 유전체 에칭 공정들은 CxFy-기반 화학이 유리한데, 그 이유는 이것이 플라즈마 에칭 동안 측벽 보호를 제공하고, 이방성 에칭 능력을 증가시킬 수 있기 때문이다.
본원에 기재된 하나 이상의 구체예들에 따르면, 에칭의 기본적인 양태들은 에칭에 대한 대안적인 가스들을 사용함으로써 해결된다. 예를 들어, 한 가지 구체예에서, Si-기반 전구체들(예, SiF4, SiCl4, 다른 플루오로실란들, 클로로실란들, 아이오도실란들), 질화 가스(예, N2, NH3 등) 및/또는 불활성 희석 가스(예, Ar, He, Xe, Ne)의 조합물이 사용된다. 이방성 에칭은 에칭되는 트렌치의 측벽 상에 Si-기반(N-풍부) 증착물의 형성에 의해 가능해진다. 이러한 증착은 또한 플라즈마 공격으로부터 낮은-k 필름을 보호한다.
다른 구체예들에서, 산소가 N2와 함께 또는 N2 대신에 사용되어 측벽 상에 Si-O 기반 증착물을 형성시킨다. 에칭 시퀀스에서 그 다음 스테이지 또는 작업은, 낮은 k로부터 그러한 필름을 선택적으로 제거할 수 있는 화학종들을 발생시키는 원격 플라즈마 또는 저에너지 플라즈마의 사용을 포함한다. 한 가지 예는 측벽의 증착물과 반응하여 실리케이트 화학종들을 형성시키는 NH4F를 형성시키기 위해 NF3/NH3 기반 원격 플라즈마를 사용하는 것이다. 실리케이트 화학종은 승온들에서 승화된다. 한 가지 그러한 구체예에서, 상기 기재된 공정은 낮은-k 물질에 매우 선택적이고, 이러한 낮은-k 물질은 그러한 공정에서 에칭되지 않는다. 또 다른 구체예에서, O2/N2/NF3 또는 NO/NF3 기반의 원격 플라즈마 공정은 Si-N 유형의 측벽 증착물을 에칭시키는데 이용된다. 그러한 공정은 낮은-k 필름들에 매우 선택적이 되도록 조정된다. 에칭 공정의 이러한 두 가지 양태들은 프로파일 제어를 위해 여러 회 반복될 수 있다.
본 발명의 하나 이상의 구체예들은 ULK 필름들과 같은 낮은-k 유전 필름들의 플루오로카본-비함유 에칭을 목적으로 하고 있다. 구체예에서, 본원의 하나 이상의 방법들은 예를 들어, 에칭 패턴화 공정에서 낮은 k 유전 필름에 대한 손상 또는 유해한 영향을 줄이는 패턴화 방법을 제공하는데 이용된다. 한 가지 그러한 구체예에서, 본원에 기재된 방법들은 C-도핑된 산화 필름들에 특히 유용할 수 있다. 통상적인 플루오로카본 화학물질-기반 ULK 에칭 공정들은 불가피하게도 ULK 표면들 상에 CF 폴리머를 증착시킨다. 이어서, 폴리머는 산화시키는 에칭후 처리(post etch treatment: PET) 플라즈마로 제거된다. 그러나, PET 플라즈마는 탄소-함유 ULK 유전체들을 산화시킬 수 있다. 산화된 탄소-함유 ULK 유전체들은 바람직하지 않은 흡습에 주어져서 ULK 유전체들의 유전 상수(k 값)를 증가시킬 수 있다. 본 발명의 구체예에 따르면, 초저 k 유전체의 플루오로카본-비함유 에칭이 하기에 기재된다.
도 1은 낮은-k 유전층이 통상적인 플루오로카본-기반 에칭 공정에서 형성된 폴리머를 제거하는데 사용되는 통상적인 산화 플라즈마 조건들 하에 손상되거나 영향을 받을 수 있는 메카니즘들을 도시한 것이다. 도 1의 메카니즘(a)을 참조하면, 산화 애쉬(ash)가 진행되는 때에, 약 2.5의 유전 상수를 지니는 SiCOH 낮은-k 필름의 약 40%를 구성하는 SiO3-메틸 단편은 바람직하지 않게도 이의 메틸 기가 하이드록사이드 기로 손실될 수 있다. 도 1의 메카니즘(b)을 참조하면, 산화 애쉬가 진행되는 때에, 약 2.5의 유전 상수를 지니는 SiCOH 낮은-k 필름의 약 10%를 구성하는 SiO2-(CH2)2 단편은 바람직하지 않게도 SiO2-(COH)2 단편으로 전환될 수 있다. 도 1의 메카니즘(c)을 참조하면, 환원 애쉬가 진행되는 때에, 약 2.5의 유전 상수를 지니는 SiCOH 낮은-k 필름의 약 40%를 구성하는 SiO3-메틸 단편은 바람직하지 않게도 이의 메틸 기가 하이드라이드 리간드(H)로 손실될 수 있다.
구체예에서, 플루오로카본-비함유 에칭이 낮은-k 유전 필름을 패턴화시키는데 사용된다. 따라서, 달리 애싱 공정에 의한 제거를 필요로 하는 CF 폴리머가 형성되지 않는다. 한 가지 구체예에서, 본원에 기재된 에칭 공정들은 다음과 같은 동일한 작업으로 수행되는 두 개의 기본적인 기능들을 포함한다: (1) 필름의 일부를 실리콘 옥사이드(또는 낮은-탄소 함유 옥사이드)로 전환시키기 위해 제 1 플라즈마 에칭으로의 처리를 통해 낮은-k 필름의 노출된 부분의 개질; 및 (2) 제 2의 원격 플라즈마 에칭으로 처리된 층의 제거. 따라서, 구체예에서, ULK 필름은 Si-CH3 네트워크에 달리 손상을 초래할 수 있는 어떠한 할로겐 라디칼들 또는 O 라디칼들에 노출되지 않는다.
본 발명의 양태에서, 낮은-k 유전 필름은 낮은-k 유전 필름의 일부의 개질 및 필름의 비개질된 부분들에 선택적인 개질된 부분의 후속 제거에 의해 패턴화된다. 예를 들어, 도 2는 본 발명의 구체예에 따른 낮은-k 유전 필름을 패턴화시키는 방법에서 작업들을 나타내는 흐름도(200)이다. 도 3a-3f는 본 발명의 구체예에 따른 낮은-k 유전 필름을 패턴화시키는 방법에서 작업들을 나타내는 횡단면도들을 도시한 것이다.
흐름도(200)의 작업(202) 및 상응하는 도 3a 및 3b를 참조하면, 낮은-k 유전 필름을 패턴화시키는 방법은 기판(302) 상에 배치되어 있는 낮은-k 유전층(304) 위에 마스크 층(306)을 형성시키고(도 3a) 패턴화시킴(도 3b)을 포함한다. 구체예에서, 마스크 층(306)은 낮은-k 유전층(304) 위에 직접적으로 형성된 포토레지스트 층이다. 또 다른 구체예에서, 포토레지스트 층은 낮은-k 유전층(304) 상에 배치된 하드마스크 층(이러한 구체예에서 306) 위에 먼저 형성된다.
구체예에서, 예를 들어, 마스크 층(306)이 패턴화되는 하드마스크 층인 경우에, 낮은-k 유전층(304) 상에 마스크 층(306)을 형성시키고 패턴화시키는 것은 비-산화 마스크 층을 형성시키고 패턴화시킴을 포함한다. 비-산화 마스크 층은 하기에서 더욱 상세하게 기재된 바와 같이, 산화 에칭에 대한 선택성을 제공할 수 있다. 특정의 그러한 구체예에서, 마스크 층(306)은 이로 제한되지는 않지만, 티타늄 니트라이드 층 또는 탄탈럼 니트라이드 층과 같은 금속-함유 층이다. 또 다른 특정의 그러한 구체예에서, 마스크 층(306)은 이로 제한되지는 않지만, 실리콘 니트라이드 층과 같은 비-산화 유전 물질 층이다.
또 다른 구체예에서, 예를 들어, 마스크 층(306)이 패턴화되는 포토레지스트 층인 경우에, 낮은-k 유전층(304) 상에 마스크 층(306)을 형성시키고 패턴화시키는 것은 포토레지스트 층을 형성시키고 패턴화시킴을 포함한다. 포토레지스트 층은 리소그래피 공정에서의 사용에 적합한 물질로 구성될 수 있다. 즉, 구체예에서, 포토레지스트 층은 광원에 노출되고, 이어서 현상된다. 한 가지 구체예에서, 광원에 노출될 포토레지스트 층의 부분들은 포토레지스트 층, 예를 들어, 양성 포토레지스트 물질로 구성되는 포토레지스트 층을 현상하는 때에 제거될 것이다. 특정의 구체예에서, 포토레지스트 층은 이로 제한되지는 않지만, 248 나노미터 노드의 레지스트, 193 나노미터 노드의 레지스트, 157 나노미터 노드의 레지스트, 극자외선(extreme ultra-violet: EUV) 레지스트, 또는 디아조나프토퀴논 감광제를 지니는 페놀계 수지 매트릭스와 같은 양성 포토레지스트 물질로 구성된다. 또 다른 구체예에서, 광원에 노출될 포토레지스트 층의 부분들은 포토레지스트 층, 예를 들어, 음성 포토레지스트 물질로 구성된 포토레지스트 층을 현상하는 때에 유지될 것이다. 특정의 구체예에서, 포토레지스트 층은 이로 제한되지는 않지만, 폴리-시스-이소프렌 및 폴리-비닐 신나메이트와 같은 음성 포토레지스트 물질로 구성된다.
구체예에서, 낮은-k 유전층(304)은 예를 들어, 실리콘 디옥사이드의 유전율보다 낮은 약 3.9 미만의 유전율을 지닌다. 한 가지 구체예에서, 낮은-k 유전층(304)은 이로 제한되지는 않지만, 플루오린-도핑된 실리콘 디옥사이드, 탄소-도핑된 실리콘 디옥사이드, 다공성 실리콘 디옥사이드, 다공성 탄소-도핑된 실리콘 디옥사이드, 다공성 SiLK, 스핀-온 실리콘 기반 폴리머 유전체, 또는 스핀-온 유기 폴리머 유전체와 같은 물질이다. 본 발명의 구체예에 따르면, 낮은-k 유전층(304)은 2.7 미만의 유전 상수를 지니는 다공성 SiCOH 층이다.
기판(302)은 제작 공정을 견디며 그 위에 반도체 가공 층이 적합하게 존재할 수 있는 적합한 물질로 구성될 수 있다. 본 발명의 구체예에 따르면, 기판(302)은 이로 제한되지는 않지만, 결정질 규소, 게르마늄 또는 규소/게르마늄과 같은 IV 족-기반 물질로 구성된다. 특정의 구체예에서, 기판(302)을 제공하는 것은 단결정질 규소 기판을 제공함을 포함한다. 특정 구체예에서, 단결정질 규소 기판은 불순물 원자들로 도핑된다. 또 다른 구체예에서, 기판(302)은 III-V족 물질로 구성된다. 구체예에서, 복수의 반도체 장치들이 낮은-k 유전층(304) 아래의 기판(302) 상에 존재한다.
흐름도(200)의 작업(204) 및 상응하는 도 3c를 참조하면, 낮은-k 유전 필름을 패턴화시키는 것은 또한 낮은-k 유전층(304)의 노출된 부분들을 플라즈마 공정(307)으로 개질시킴을 포함한다. 낮은-k 유전층(304)의 노출된 부분들은 패턴화된 마스크(306)에 의해 노출된 그러한 부분들이다. 플라즈마(307)는 노출된 부분들을 개질시켜 낮은-k 유전층(304)의 개질된 부분들(308)과 비개질된 부분(304B)을 제공하는데 사용된다. 낮은-k 물질을 개질시키는 것에 더하여, 도 3c에 도시된 바와 같이 보호 물질 층(399)이 또한 동일한 공정으로 증착될 수 있다. 그러한 보호 물질 층(399)은 도 4a 및 4b와 연관되어 하기에 더욱 상세하게 기재된다. 따라서, 구체예에서, 보호층의 증착 및 낮은-k 필름의 개질은 동일한 공정으로, 또는 적어도 본질적으로 동시에 수행된다.
구체예에서, 제 1 플라즈마 공정은 SiF4/N2/Ar-기반 플라즈마를 포함한다. 한 가지 그러한 구체예에서, 낮은-k 측벽은 SiON 또는 SiONH 층으로 보호되고, 여기서 산소가 의도적으로 스캐빈징(scavenging)되거나 도입된다. 특정의 구체예에서, 플라즈마로부터의 Ar은 트렌치의 하부만을 집중하여 낮은-k 물질을 선택적으로 그리고 이방성으로 개질시키는데 사용된다(도 4a 및 4b에서 더 분명하게 도시되는 바와 같이). 구체예에서, SiF4 대신에 또는 이에 더하여, 이로 제한되지는 않지만, SiCl4, Si(CH3)3H, SiH4, Cl2SiH2, Si2Cl6, Si2F6와 같은 화학종들(예를 들어, 본질적으로 Si-Cl 결합 또는 Si-F 결합을 포함한 화학종들)이 사용될 수 있다. 특정의 구체예에서, Ar은 약 5-50mTorr 범위의 압력을 지닌다. 구체예에서, N2는 약 10-1000W 범위의 전력으로 사용된다. 구체예에서, 약 2MHz - 60MHZ 범위의 바이어스 RF 주파수가 사용된다. 구체예에서, 둘 이상의 주파수들의 조합, 예를 들어, 2MHz/60MHz, 13MHz/60MHz, 또는 27MHz/60MHz 조합들이 바이어싱에 사용된다. 구체예에서, 정전 척(electrostatic chuck: ESC) 온도는 약 10도씨(degrees Celsius)이지만, 최대 110도씨에서 수행될 수 있다. 구체예에서, 상기 조건들은 모두 함께 공동으로 이용된다.
또 다른 구체예에서, 제 1 플라즈마 공정, 또는 제 1 스테이지 공정은 무질소 환경에서 수행된다. 예를 들어, 한 가지 구체예에서, 산소(O2)는 낮은-k 필름의 에칭 또는 추가 에칭 동안 형성하거나 형성된 낮은-k 트렌치 측벽들에 대한 보호를 제공하는데 사용된다. 산소는 비교적 소량, 예를 들어, 약 10sccm 미만으로 도입될 수 있다. 예를 들어, 특정의 구체예에서, 제 1 스테이지 공정은 약 1200sccm의 아르곤(Ar), 약 200sccm의 SiF4, 및 약 5sccm의 산소의 전체 흐름 조성을 기반으로 한 플라즈마의 사용을 포함하는 단일 작업 공정(도 3c에 도시된 바와 같음)이다. 특정 그러한 구체예에서, 단일 공정은 약 10 내지 110도씨 범위의 온도에서 수행된다. 또 다른 특정 구체예에서, 플라즈마의 압력은 약 10 내지 70mTorr의 범위, 바람직하게는 약 40mTorr이다. 또 다른 특정 구체예에서, 단일 작업인 제 1 스테이지 플라즈마는 약 300Watt에서 약 13MHz의 바텀-파워 바이어스(bottom-powered bias) 및 약 150Watt에서 약 60MHz의 동시 샤워헤드 바이어스(simultaneous showerhead bias)로 바이어싱된다. 2-스테이지 챔버에서 샤워헤드는 두 스테이지들 사이에 있음을 이해해야 한다. 매우 특정의 구체예에서, 제 1 스테이지 공정은 약 1200sccm의 아르곤(Ar), 약 200sccm의 SiF4, 및 약 5sccm의 산소의 전체 흐름 조성, 약 10 내지 110도씨 범위의 온도, 약 10 내지 70mTorr, 바람직하게는 약 40mTorr의 압력, 및 약 300Watt에서 약 13MHz의 바텀-파워 바이어스 및 약 150Watt에서 약 60MHz의 동시 샤워헤드 바이어스를 포함한 바이어스를 기반으로 한 플라즈마의 사용을 포함하는 단일 공정(도 3c에 도시된 바와 같은)이다.
추가의 또 다른 구체예에서, 제 1 플라즈마 공정, 또는 제 1 스테이지 공정은 2-작업 공정으로 수행된다. 예를 들어, 제 1 스테이지 플라즈마 공정은 보호성 증착을 제공하는 제 1 작업을 포함한다. 한 가지 그러한 구체예에서, 보호층은, 보호층을 형성시키기 위해서 트리메틸 실란(Si(CH3)3H) 및 산소(O2)를 유동시킴으로써 형성된다. 특정의 그러한 구체예에서, (Si(CH3)3H)는 약 20sccm 미만으로 유동되는 반면, 산소는 약 10-50sccm에서 유동된다. 특정 그러한 구체예에서, 보호층은 약 5-20mTorr의 범위, 바람직하게는 약 10mTorr의 압력에서 형성된다. 특정의 구체예에서, 보호층에 대한 증착 시간은 약 5초의 기간을 지니지만, 약 40초만큼 길 수 있다. 그 다음, 제 1 스테이지 플라즈마 공정은 낮은-k 물질의 처리 또는 개질을 위한 제 2 작업을 포함한다. 예를 들어, 구체예에서, 제 2 작업은 낮은-k 필름을 약 5초 내지 4분 범위의 기간 동안 개질시키기 위해 아르곤(Ar), 질소(N2), 또는 이 둘 모두를 사용함으로써 수행된다. 2-작업의 제 1 스테이지 플라즈마 공정이 요망되는 프로파일에 좌우하여 상기 기재된 단일 작업의 제 1 스테이지 공정 대신에 이용될 수 있다. 즉, 두 방법들 사이의 선택이 낮은-k 필름 패턴화 동안 궁극적으로 형성되는 전체 에칭 프로파일의 조정력 수준을 제공한다. 단일 작업 방법에서, 보호층 증착 및 낮은-k 필름 처리는 동시에 수행되는 반면(도 3c에 도시된 바와 같이), 2-작업 방법에서 보호층 증착 및 낮은-k 필름 처리는 하기에서 도 3ca 및 3cb와 연관되어 기술되는 바와 같이 두 가지 상이한 작업들로 수행된다.
도 3ca 및 3cb는 본 발명의 구체예에 따라 바로 위에 기재된 2-작업의 제 1 스테이지 플라즈마 구체예들과 같이 두 작업들로 수행되는 바와 같은 낮은-k 개질을 나타내는 횡단면도들을 도시한 것이다. 도 3ca을 참조하면, 기판(352), 낮은-k 물질(354) 및 마스크 스택(356)을 포함하는 물질 스택(350)은 예를 들어, SiF4/O2 증착에 의해 이들 위에 증착되는 라이너 층(358)을 지닌다. 이 후 도 3cb를 참조하면, 초저-k 처리, 예를 들어, He, N2, 또는 Ar 이온들을 사용하는 초저-k 처리는 낮은-k 물질(354)의 라이너(358) 부분들을 통해 개질시키는데 사용된다(영역들(360) 형성).
흐름도(200)의 작업(206) 및 이에 상응하는 도 3d를 참조하면, 낮은-k 유전 필름의 패턴화는 또한 낮은-k 유전층(304B)의 개질된 부분들(308)을 제거함을 포함한다. 구체예에서, 그러한 제거는 마스크 층(306) 및 낮은-k 유전층(304)의 비개질된 부분들(304B)에 대해 선택적이다. 탄소가 낮은-k 유전층(304)의 개질 동안 제거될 수 있기 때문에, 개질된 부분들(308)은 본질적으로 더욱 산화물-유사일 수 있다. 이에 따라서, 구체예에서, 산화물 제거 공정은 개질된 부분들(308)을 제거하는데 사용되며, 이의 예들은 하기에 제공된다.
구체예에서, 제 2의 원격 플라즈마는 본질적으로 개질된 낮은-k 물질 및 보호 층(399)을 제거함으로써 표면들을 세정하는데 사용된다. 한 가지 그러한 구체예에서, NF3/O2/N2 또는 CF4/O2/N2 또는 NF3/NH3 플라즈마는 제 2 플라즈마로서 원격으로 사용된다. 따라서, 한 가지 구체예에서, 제 1 플라즈마(원격되지 않은 플라즈마)는 동시에 보호 층을 형성시키고 낮은-k 필름을 개질시키는데 사용된다. 그 후에, 제 2 플라즈마(원격 플라즈마)는 보호 층과 개질된 낮은-k 물질 층을 제거하는데 사용된다. 그러한 공정에 적합한 챔버의 예는 도 5b와 연관되어 하기에 기재된다.
구체예에서, 제 2 스테이지는 적어도 에칭과 승화의 조합에 의한 제거를 포함한다. 한 가지 그러한 구체예에서, 승화는 약 100도씨 초과의 온도로 수행된다. 구체예에서, 1-작업 방법이 제 2 스테이지에 사용된다. 예를 들어, 약 70도씨의 온도 및 약 1-10Torr 범위의 압력에서 NF3/NH3의 조합을 기반으로 한 플라즈마가 함께 수행되는 에칭과 승화에 사용된다. 또 다른 구체예에서, 2-작업 방법이 제 2 스테이지에 사용된다. 예를 들어, NF3/NH3의 조합을 기반으로 한 플라즈마가 먼저 약 10-50도씨의 온도 및 약 500mTorr 내지 3Torr 범위의 압력에서 에칭에 사용된다. 후속적으로, 플라즈마는 그 다음에 약 110도씨의 온도 및 약 500mTorr 내지 3Torr 범위의 압력에서 승화에 사용된다.
따라서, 본 발명의 구체예에 따르면, 낮은-k 유전 필름의 에칭은 낮은-k 유전층의 부분 필름 전환에 의해 달성된다. 한 가지 구체예에서, 에칭은 원자층 에칭 또는 분자 수준 에칭(molecular level etching: MLE)으로서 지칭될 수 있는데, 그 이유는 공정 사이클 당 낮은-k 유전 필름의 단지 하나 또는 몇 개 층의 노출된 부분들이 전환되고 이어서 제거되기 때문이다. 상기 예시된 바와 같이, 한 가지 구체예에서, 에칭 공정은 먼저 제 1 플라즈마로 가로의 ULK 표면의 조성을 선택적으로 개질시킨 후, 제 2의 원격 플라즈마로 개질된 부분들을 제거함을 포함한다. 한 가지 구체예에서, 본원에 기재된 방법들은 낮은-k 유전 필름으로부터 메틸 녹-오프(methyl knock-off)에 의한 진성 필름 전환을 나타낸다.
대조적으로, 통상적인 화학적 에칭은 전형적으로 ULK 표면들 상에 폴리머의 증착을 포함하고, ULK 표면들은 산화 PET 작업으로 제거된다. 수성-기반 세정은 손상된 ULK를 제거하는데 사용되는데, 이는 ULK로의 흡습 및 라인 벤딩(line bending)을 초래할 수 있다. 그 대신, 본원의 구체예에서, ULK 물질에 본질적으로 무손상인 에칭은 CF 에칭 화학물질을 완전히 회피함으로써 달성된다. 비활성 플라즈마는 ULK 표면으로부터 탄소를 제거하는데 사용된다. 그 후에, 다운스트림 플라즈마가 ULK의 개질된 부분들을 제거하는데 사용된다. 다운스트림 플라즈마 에칭은 이의 순수한 화학적 에칭의 성질로 인해 ULK에 매우 선택적일 수 있다.
흐름도(200)의 작업(204)과 연관되어 기술된 상기 방법은 낮은-k 유전층(304)의 패턴화의 적합한 정도를 달성하기 위해 필요에 따라 반복될 수 있다. 예를 들어, 도 3d를 다시 참조하면, 트렌치들(310)은 낮은-k 유전층(304)에서 형성되어 부분적으로 패턴화되고 비개질된 낮은-k 유전층(304b)을 남긴다. 트렌치들(310)의 깊이는 낮은-k 유전층(304)의 적합한 패턴화에 충분히 깊지 않을 수 있는데, 특히, 그 이유는 상기 기재된 개질 및 제거 공정이 단지 한 개 또는 수개의 분자 층들을 한번에 제거할 수 있기 때문이다.
이에 따라서, 구체예에서, 낮은-k 유전층(304)은, 후속 가공 요건들에 적합한 트렌치들(310)의 깊이에 이를 때까지 이의 노출된 부분들의 다중 개질 및 제거 공정들에 주어진다. 한 가지 그러한 구체예에서, 개질들 및 제거는 부분 트렌치들이 낮은-k 유전층(304)에서 형성되되, 낮은-k 유전층(304)을 완전히 관통해서 형성되지 않을 때까지 반복된다. 또 다른 그러한 구체예에서, 개질들 및 제거는 완전한 트렌치들이 낮은-k 유전층(304)을 완전히 관통해서 형성될 때까지 반복된다.
순환식 공정의 예로서, 도 3e 및 3f는 도 3a-d와 함께 낮은-k 유전층을 패턴화시키기 위해 총 2회의 사이클들이 수행되는 구체예를 도시한 것이다. 낮은-k 유전 필름을 적절하게 패턴화시키기 위해서는 2회 초과의 다수의 개질 및 제거 사이클들이 필요할 수 있음을 이해해야 한다.
도 3e를 참조하면, 낮은-k 유전층(304B)의 노출된 부분들, 예를 들어, 트렌치들(310)의 노출된 표면들은 동일한 작업들로 제 1 및 제 2 원격 플라즈마 공정들을 포함하는 제 2 개질 플라즈마 공정에 의해 개질되고 에칭된다. 낮은-k 유전층(304B)의 노출된 부분들은 패턴화된 마스크(306)에 의해 노출된 그러한 부분들 뿐만 아니라 낮은-k 유전층(304B)의 노출된 측벽들이다. 도 3e의 개질 및 에칭 사이클의 두 번째 반복에 의해서 더 깊은 트렌치들(310') 및 그에 따라서 패턴화된 낮은-k 필름(304C)이 형성되었다.
도 3f를 참조하면, 트렌치들(310')의 요망되는 깊이가 달성되면, 마스크(306)는 제거될 수 있는데, 그러한 깊이 달성은 상기 기재된 개질 및 제거 공정의 여러 회 사이클들을 포함할 수 있다. 그러나, 구체예에서, 제거가 패턴화된 낮은-k 유전층(304C)에 대하여 선택적이고 패턴화된 낮은-k 유전층(304C)에 대하여 유해한 영향을 미치지 않도록(예를 들어, 유전 상수를 증가시킴으로써) 마스크(306)의 제거 시에 주의를 기울여야 한다.
구체예에서, 마스크 층(306)은 포토레지스트 물질로 구성되고, 애싱 공정에서 제거된다. 그러나, 한 가지 구체예에서, 애싱 플라즈마는 패턴화된 낮은-k 유전층(304C)에서 애싱 손상을 제한하는 방식으로 적용된다. 특정의 그러한 구체예에서, 포토레지스트-기반 마스크 층(306)과 패턴화된 낮은-k 유전층(304C)의 조성에는 유사점들이 있을 수 있지만, 마스크 층(306)의 선택적 제거를 위해서 다른점들이 이용된다. 예를 들어, 유기 마스크는 하이드로카본 폴리머(원소들 C, H, O 포함)를 포함할 수 있는데, 여기서 이의 에칭은 이온들 보다는 오히려 중성 물질들의 화학종들에 대해 더욱 좌우될 수 있다. 한편, 패턴화된 낮은-k 유전층(304C)은 Si-CH3 기들을 지니는 O-Si-O 네트워크를 포함할 수 있고, 여기서 이의 에칭은 손상을 유발하는 일부 이온 에너지 및 중성 물질들을 필요로 할 수 있다. 마스크 층(306)의 선택적 제거를 위한 방법들은, 구체예에서, 수직 표면들 상의 패턴화된 낮은-k 유전층(304C)을 보존하면서 수평 표면들 상의 유기 마스크를 제거할 수 있는 높은 이온/중성 물질 비율에 의해 패턴화된 낮은-k 유전층(304C)에 대한 애싱 선택도를 증가시킴을 포함할 수 있다.
본 발명의 또 다른 구체예에 따르면, 노출되거나 부분적으로 노출된 낮은-k 유전층으로부터 포토레지스트 층의 제거와 전형적으로 연관되는 애칭 손상을 줄이기 위해 순환식 패시베이션(passivation) 및 애싱 방법이 이용된다. 한 가지 그러한 구체예에서, 공정 구성은 패시베이션 및 애싱의 교대 작업들을 포함한다. 각각의 패시베이션 작업들 동안, 규소-함유 패시베이션 제제는 초저-k(ULK) 물질과 선택적으로 반응시켜 ULK 물질의 노출된 부분들 상에 얇은 규소-함유 필름 층을 형성시키기 위해 사용된다. 각각의 애싱 작업들 동안, 얇은 규소-함유 필름 층은, 예를 들어, 포토레지스트 층을 에칭시키는데 사용될 수 있는 애싱 플라즈마에 대해 보호하는 작용을 한다. 보호에 의해 애싱 플라즈마가 달리 ULK 물질에 대해 초래되는 손상이 줄어든다. 패시베이팅 층의 보호 성질은, 필름이 애싱 플라즈마에 노출 시에 Si-O 연결들을 형성시킬 수 있다는 관점에서, 인 시튜로 여겨질 수 있다. Si-O 연결들은 애싱 플라즈마에 대해 선택성을 제공할 수 있다.
패시베이션 층은 화학적 반응 또는 물리적 스퍼터링에 의해 애싱 동안 제거되거나 적어도 다소 절충될 수 있다. 이에 따라서, 한 가지 구체예에서, 순환식 패시베이션/애싱 작업들이 이용된다. 그러한 순환식 패시베이션/애싱 작업들은 모든 유기 마스크 물질(예, 위에 놓인 포토레지스트 층)이 제거될 때까지 반복될 수 있다. 패시베이션 층의 어떠한 남은 부분들은, 예를 들어, 한 가지 구체예에서, 희석된 불산(HF) 세정에 의해 제거될 수 있다.
특정의 구체예에서, 마스크 층(306)의 제거 동안 패턴화된 낮은-k 유전층(304C)을 보호하기 위한 패시베이션 층을 형성시키기 위하여, 규소 공급원 층은 먼저 패턴화된 낮은-k 유전층(304C)의 트렌치들(310')의 표면들 상에 형성된다. 구체예에서, 규소 공급원 층은, 패턴화된 낮은-k 유전층(304C)의 가수분해된 부분과 반응하는 분자종들로부터 형성된다. 한 가지 구체예에서, 규소 공급원 층은 규소 공급원 층과 패턴화된 낮은-k 유전층(304C)의 노출된 부분 사이에 공유 결합을 형성시킨다. 한 가지 구체예에서, 규소 공급원 층은 이로 제한되지는 않지만, 실리콘 테트라클로라이드(SiCl4), 디메틸실란((CH3)2SiH2), 트리메틸실란((CH3)3SiH), N-(트리메틸실릴)디메틸아민((CH3)3SiN(CH2)2), 또는 1,1,1,3,3,3-헥사메틸디실라잔(HMDS)과 같은 화학종들로부터 형성된다. 한 가지 구체예에서, 기판(302)은 규소 공급원 층의 형성 동안 핫플레이트 상에 놓여진다.
그 다음, 규소 공급원 층은 산소 공급원에 노출되어 패턴화된 낮은-k 유전층(304C)의 트렌치들(310')의 표면들 상에 Si-O-함유 층을 형성시키고, 포토레지스트-기반 마스크 층(306)의 적어도 일부를 제거한다. 구체예에서, Si-O-함유 층은 마스크 층(306)의 일부 또는 전부의 제거 동안 패턴화된 낮은-k 유전층(304C)을 보호한다. Si-O-함유 층의 부재에서, 패턴화된 낮은-k 유전층(304C)은 달리 마스크 층(306)의 일부를 제거하는데 이용되는 공정에 의해 손상될 수 있음을 이해해야 한다. 구체예에서, 규소 공급원 층을 산소 공급원에 노출시키는 것은 플라즈마로 처리함을 포함한다. 한 가지 구체예에서, 플라즈마는 산소 라디칼 공급원을 기반으로 한다. 산소 라디칼 공급원은 분해 생성물이 산소 라디칼을 구성하는 지니는 분자이다. 특정의 그러한 구체예에서, 산소 라디칼 공급원은 이로 제한되지는 않지만, 산소(O2), 오존(O3), 이산화탄소(CO2), 또는 물(H2O)과 같은 공급원이다. 구체예에서, 마스크 층(306)의 제거에 이어서 Si-O-함유 층이 제거된다. 한 가지 그러한 구체예에서, Si-O-함유 층은 건식 에칭 공정에 의해 제거된다. 또 다른 구체예에서, 불산(HF)을 포함하는 습식 에칭액이 Si-O-함유 층을 제거하는데 사용된다. 그러나, 그러한 추가의 처리가 필요하지 않을 수 있음을 이해해야 한다. 예를 들어, 한 가지 구체예에서, Si-O-함유 층은 화학적 반응 또는 물리적 스퍼터링에 의해 애싱 동안 제거된다.
전술된 바와 같이, 보호층은 낮은-k 개질 공정 동안 형성될 수 있다. 예로서, 도 4a 및 4b는 본 발명의 구체예에 따른 낮은-k 유전 필름을 패턴화시키는 방법에서 다양한 작업들, 및 상응하는 챔버 구성들을 나타내는 횡단면도들을 도시한 것이다.
도 4a를 참조하면, 도 3c에 나타나 있는 스택과 같은 물질 스택(402A)은 낮은-k 유전층에서 형성된(또는 형성되는) 트렌치들을 포함한다. 보호층(499)이 먼저 제 1 플라즈마 공정에서 형성되면서, 낮은-k 유전층의 노출된 부분들이 동일한 플라즈마 공정에서 개질된다. 구체예에서, 도 5b와 연관되어 보다 상세하게 기술되는 바와 같이, 제 1 플라즈마 공정이 수행되면서 스택(402A)이 제 1 플라즈마 스테이지 위치(404A)에 배치된다. 따라서, 구체예에서, 이방성 필름 개질로 측벽 보호를 위한(그리고, 공극들을 밀봉하여 손상을 방지하기 위한) 플라즈마 증착이 동일한 공정에서 수행된다.
도 4b를 참조하면, 도 3d에 나타난 스택과 같은 물질 스택(402B)은 낮은-k 유전층에서 세정된 트렌치들을 포함한다. 도 4a로부터의 보호층(499) 및 개질된 낮은-k 물질은 제 2 플라즈마 공정에서 제거된다. 구체예에서, 제 2 플라즈마 공정은 원격 플라즈마 공정이다. 한 가지 그러한 구체예에서, 도 5b와 연관되어 보다 상세하게 기술되는 바와 같이, 제 2 플라즈마 공정이 수행되면서 스택(402B)이 제 2 플라즈마 스테이지 위치(404B)에 배치된다. 특정의 구체예에서, 증착되고 개질된 층들을 제거하기 위해 Siconi 플라즈마 공정이 이용된다.
전반적으로, 구체예에서, 비-탄소 기반 방법이 k-값 변화가 없는 낮은-k 필름의 부분들을 선택적으로 제거하는데 이용된다. 한 가지 구체예에서, 먼저 Si-기반 전구체가 측벽 보호와 함께 에칭시키는데 사용되고, 두 번째로, 고도로 선택적인 라디칼 기반 제거가 이용되는 순차적인 공정이 이용된다. 이점들로는 이로 제한되지는 않지만, 탄소-비함유 공정의 이용(애쉬 또는 에칭후 처리가 반드시 필요하지 않음), 잠재적으로 습식 세정 불필요, 최소의 금속 하드마스크 부식을 야기하는 낮은 이온 에너지들, 및 우수한 깊이 및 균일성 제어를 야기하는 자기 제한적 처리 및 제거 작업이 포함될 수 있다.
구체예에서, 상기 공정들 중 하나 이상은 플라즈마 에칭 챔버에서 수행된다. 예를 들어, 한 가지 구체예에서, 상기 공정들 중 하나 이상은 Applied Materials(Sunnyvale, CA, USA)로부터 입수가능한 Applied Centura® Enabler 유전체 에칭 시스템에서 수행된다. 또 다른 구체예에서, 상기 공정들 중 하나 이상은 또한 Applied Materials(Sunnyvale, CA, USA)로부터 입수가능한 Applied MaterialsTM AdvantEdge G3 에쳐에서 수행된다.
낮은-k 유전층의 패턴화는 에칭을 위해 샘플에 근접하여 에칭 플라즈마를 제공하기에 적합한 가공 장비로 실시될 수 있다. 예를 들어, 도 5a는 본 발명의 구체예에 따른 낮은-k 유전 필름 패턴화 방법이 수행되는 시스템을 도시한 것이다.
도 5a를 참조하면, 플라즈마 에칭 공정을 실시하기 위한 시스템(500)은 샘플 홀더(504)가 장착된 챔버(502)를 포함한다. 배기 장치(506), 가스 유입 장치(508) 및 플라즈마 점화 장치(510)는 챔버(502)와 커플링되어 있다. 계산 장치(512)는 플라즈마 점화 장치(510)와 커플링되어 있다. 시스템(500)은 샘플 홀더(504)와 커플링된 전압원(514) 및 챔버(502)와 커플링된 검출기(516)를 추가로 포함할 수 있다. 계산 장치(512)는 또한 도 5a에 도시된 바와 같이, 배기 장치(506), 가스 유입 장치(508), 전압원(514) 및 검출기(516)와 커플링될 수 있다.
챔버(502) 및 샘플 홀더(504)는 이온화 가스, 즉, 플라즈마를 함유하기에 적합한 반응 챔버 및 샘플 위치결정 장치를 포함하고, 이로부터 방출된 이온화 가스 또는 대전된 화학종들에 근접하게 샘플을 가져갈 수 있다. 배기 장치(506)는 챔버(502)를 배기하고 감압시키기에 적합한 장치일 수 있다. 가스 유입 장치(508)는 반응 가스를 챔버(502)로 유입하기에 적합한 장치일 수 있다. 플라즈마 점화 장치(510)는 가스 유입 장치(508)에 의해 챔버(502)로 주입된 반응 가스로부터 나오는 플라즈마를 점화시키기에 적합한 장치일 수 있다. 검출 장치(516)는 가공 작업의 종말점을 검출하기에 적합한 장치일 수 있다. 한 가지 구체예에서, 시스템(500)은 Applied Centura® Enabler 유전체 에칭 시스템 또는 Applied MaterialsTM AdvantEdge G3 시스템에 포함된 것들과 유사하거나 동일한 챔버(502), 샘플 홀더(504), 배기 장치(506), 가스 유입 장치(508), 플라즈마 점화 장치(510) 및 검출기(516)를 포함한다.
도 5b는 본 발명에 따른 도 5a의 챔버(502)에 대한 가능한 구성의 개략도를 도시한 것이다. 도 5b를 참조하면, 그리고 도 3c 및 3d의 설명과 관련하여, 챔버(502)는 제 1의 비원격 플라즈마 공정을 수행하기 위한 제 1 스테이지(바이어스를 지니는 인-시튜 공급원을 포함할 수 있는, 플라즈마 스테이지 1)를 지닌다. 예를 들어, 낮은-k 필름의 개질과 함께 보호층의 형성을 포함하는 플라즈마 공정은 스테이지 1에서 수행될 수 있다. 챔버(502)는 또한 원격 플라즈마 공정을 수행하기 위한 제 2 스테이지(플라즈마 스테이지 2)를 지닌다. 예를 들어, 개질된 낮은-k 물질과 함께 증착된 보호층의 세정을 포함하는 플라즈마 공정은 스테이지 2에서 수행될 수 있다. 챔버(502)를 위한 그러한 구성은 라디칼들/이온 비율의 미세 조정을 가능하게 할 수 있다. 그러한 조정가능한 공급원의 이점들로는 에칭 이방성 폴리머-비함유 처리들의 제어가 포함될 수 있다. 개질된 층의 깊이에 의해 한정되는 층간 제거가 또한 수행될 수 있다. 추가로, 준안정 화학종들(예, NH, F, O, H, Cl 등)의 미세 제어를 기초로 하여 조정가능한 선택도가 달성될 수 있다.
본 발명의 구체예들은 컴퓨터 프로그램 제품, 또는 소프트웨어로서 제공될 수 있고, 여기에는, 본 발명에 따른 공정을 수행하는 컴퓨터 시스템(또는 다른 전자 장치들)을 프로그래밍하는데 사용될 수 있는 이에 저장되는 명령어들을 지니는 기계-판독가능한 매체가 포함될 수 있다. 기계-판독가능한 매체는 기계(예, 컴퓨터)에 의해 판독가능한 형태로 정보 저장 또는 전송을 위한 어떠한 메카니즘을 포함한다. 예를 들어, 기계-판독가능한(예, 컴퓨터-판독가능한) 매체는 기계(예, 컴퓨터) 판독가능한 저장 매체(예, 읽기 전용 메모리(read only memory: "ROM"), 임의 접근 메모리(random access memory: "RAM"), 자기 디스크 저장 매체, 광학 저장 매체, 플래쉬 메모리 장치들 등), 기계(예, 컴퓨터) 판독가능한 전송 매체(전기적, 광학적, 음향 또는 다른 형태의 전파 신호들(예, 적외선 신호들, 디지털 신호들 등)) 등을 포함한다.
도 6은, 기계가 본원에 논의된 방법들 중 어떠한 하나 이상을 수행하도록 하나의 집합의 명령들이 실행될 수 있는 예시 형태의 컴퓨터 시스템(600)에서 기계의 다이어그램 도면을 도시한 것이다. 대안적인 구체예들에서, 기계는 근거리 통신망(Local Area Network: LAN), 인트라넷, 익스트라넷, 또는 인터넷으로 다른 기계들에 연결(예, 네트워킹)될 수 있다. 기계는 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계의 역할로 또는 피어-투-피어식(peer-to-peer)(또는 분산된) 네트워크 환경에서 피어 기계로서 작동될 수 있다. 기계는 퍼스널 컴퓨터(personal computer: PC), 태블릿 PC, 셋-탑 박스(set-top box: STB), 개인용 정보 단말기(Personal Digital Assistant: PDA), 휴대 전화, 웹 어플리언스(web appliance), 서버, 네트워크 라우터(network router), 스위치(switch) 또는 브릿지(bridge), 또는 그러한 기계에 의해 취해질 동작들을 명시하는 하나의 집합의 명령들을 수행할 수 있는(순차적 또는 다르게) 어떠한 기계일 수 있다. 추가로, 단지 하나의 기계가 도시되었지만, 용어 "기계"는 또한 하나의 집합(또는 다중 집합들)의 명령어들을 개별적으로 또는 공동으로 실행하여 본원에 논의된 방법들 중 어떠한 하나 이상을 수행하는 어떠한 기계들의 모음(예, 컴퓨터들)을 포함하는 것으로 이해되어야 한다.
예시적인 컴퓨터 시스템(600)은 프로세서(602), 주 메모리(604)(예, 읽기-전용 메모리(ROM), 플래쉬 메모리, 동적 임의 접근 메모리(dynamic random access memory: DRAM), 예컨대, 동기화 DRAM(synchronous DRAM: SDRAM) 또는 램버스 DRAM(Rambus DRAM: RDRAM) 등), 정적 메모리(606)(예, 플래쉬 메모리, 정적 임의 접근 메모리(static random access memory: SRAM) 등), 및 이차 메모리(618)(예, 데이터 저장 장치)를 포함하고, 이들은 버스(630)를 통해 서로 소통된다.
전구체(602)는 하나 이상의 일반적인 용도의 가공 장치들, 예컨대, 마이크로프로세서, 또는 중앙 처리 장치 등을 나타낸다. 더욱 특히, 프로세서(602)는 복합 명령어 집합 계산(complex instruction set computing: CISC) 마이크로프로세서, 축소 명령어 집합 계산(reduced instruction set computing: RISC) 마이크로프로세서, 매우 긴 명령어(very long instruction word: VLIW) 마이크로프로세서, 다른 명령어 집합들을 구현하는 프로세서, 또는 명령어 집합들의 조합을 구현하는 프로세서들일 수 있다. 프로세서(602)는 또한 응용 주문형 집적 회로(application specific integrated circuit; ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array; FPGA), 디지털 신호 프로세서(digital signal processor: DSP), 또는 네트워크 프로세서(network processor) 등과 같은 하나 이상의 특수-용도의 가공 장치들일 수 있다. 프로세서(602)는 본원에 논의된 작업들을 수행하기 위한 프로세싱 로직(processing logic)(626)을 실행하도록 구성된다.
컴퓨터 시스템(600)은 네트워크 인터페이스 장치(network interface device)(608)를 추가로 포함할 수 있다. 컴퓨터 시스템(600)은 또한 비디오 디스플레이 장치(610)(예, 액정 디스플레이(liquid crystal display: LCD) 또는 음극 선관(cathode ray tube: CRT)), 문자 숫자식 입력 장치(612)(예, 키보드), 커서 제어 장치(614)(예, 마우스), 및 신호 발생 장치(616)(예, 스피커)를 포함할 수 있다.
이차 메모리(618)는 본원에 기재된 방법들 또는 기능들 중 어떠한 하나 이상을 구현하는 하나 이상의 집합들의 명령어들(예, 소프트웨어(622))이 저장되는 기계-접근가능한 저장 매체(또는 더욱 특히, 컴퓨터-판독가능한 저장 매체)(631)를 포함할 수 있다. 소프트웨어(622)는 또한 컴퓨터 시스템(600)에 의한 실행 동안 주 메모리(604) 내에 및/또는 프로세서(602) 내에 완전히 또는 적어도 부분적으로 존재할 수 있고, 이러한 주 메모리(604) 및 프로세서(602)에는 또한 기계-판독가능한 저장 매체가 구성된다. 소프트웨어(622)는 추가로 네트워크 인터페이스 장치(608)를 통해 네트워크(620)에 걸쳐 전송되거나 수신될 수 있다.
기계-접근가능한 저장 매체(631)가 단일 매체인 것으로 예시적인 구체예에 나타나 있지만, 용어 "기계-판독가능한 저장 매체"는 하나 이상의 집합들의 명령어들을 저장하는 단일 매체 또는 다중 매체(예, 집중형 또는 분산형 데이터베이스, 및/또는 관련 캐시들 및 서버들)를 포함하는 것으로 이해되어야 한다. 용어 "기계-판독가능한 저장 매체"는 또한, 기계에 의한 실행을 위해 하나의 집합의 명령어들을 저장하거나 인코딩할 수 있고, 기계가 본 발명의 방법들 중 어떠한 하나 이상을 수행하게 하는 어떠한 매체를 포함하는 것으로 이해되어야 한다. 이에 따라서, 용어 "기계-판독가능한 저장 매체"는 이로 제한되지는 않지만, 솔리드-스테이트 메모리(solid-state memory)들, 및 광학 및 자기 매체를 포함하는 것으로 이해되어야 한다.
본 발명의 구체예에 따르면, 기계-접근가능한 저장 매체는 데이터 처리 시스템이 낮은-k 유전층을 패턴화시키는 방법을 수행하게 하는 이에 저장되는 명령어들을 지닌다. 상기 방법은 기판 상에 배치되는 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화시킴을 포함한다. 낮은-k 유전층의 노출된 부분들은 플라즈마 공정으로 개질된다. 동일한 작업으로 원격 플라즈마 공정에 의해 낮은-k 유전층의 개질된 부분들은 마스크 층 및 낮은-k 유전층의 비개질된 부분들에 선택적으로 제거된다.
이와 같이 낮은-k 유전 필름들을 패턴화시키는 방법들이 개시되었다.

Claims (15)

  1. 낮은-k 유전 필름을 패턴화시키는 방법으로서,
    기판 상에 배치된 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화시키고;
    무질소 플라즈마 공정으로 낮은-k 유전층의 노출된 부분들을 개질시키고;
    마스크 층 및 낮은-k 유전층의 비개질된 부분들에 대해 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 선택적으로 제거함을 포함하며,
    무질소 플라즈마 공정으로 낮은-k 유전층의 노출된 부분들을 개질시키는 것이 Ar, SiF4 및 O2의 조합물을 기반으로 한 플라즈마를 사용함을 포함하는 방법.
  2. 삭제
  3. 제 1항에 있어서, 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거하는 것이 NF3/O2/N2, CF4/O2/N2 및 NF3/NH3로 이루어진 군으로부터 선택된 가스들의 조합물을 기반으로 한 플라즈마를 사용함을 포함하는 방법.
  4. 제 1항에 있어서, 무질소 플라즈마 공정으로 낮은-k 유전층의 노출된 부분들을 개질시키는 것이 동일한 작업으로 보호층을 증착시키고 낮은-k 유전층을 개질시킴을 추가로 포함하는 방법.
  5. 삭제
  6. 제 4항에 있어서, 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거하는 것이 보호층을 원격 플라즈마 공정으로 제거함을 추가로 포함하는 방법.
  7. 제 6항에 있어서, 낮은-k 유전층의 개질된 부분들 및 보호층을 원격 플라즈마 공정으로 제거하는 것이 NF3/O2/N2, CF4/O2/N2 및 NF3/NH3로 이루어진 군으로부터 선택된 가스들의 조합물을 기반으로 한 플라즈마를 사용함을 포함하는 방법.
  8. 낮은-k 유전 필름을 패턴화시키는 방법으로서,
    기판 상에 배치된 낮은-k 유전층 위에 마스크 층을 형성시키고 패턴화시키고;
    플라즈마 공정으로 낮은-k 유전층의 노출된 부분들을 개질시키고;
    마스크 층 및 낮은-k 유전층의 비개질된 부분들에 대해 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 선택적으로 제거함을 포함하고,
    상기 개질시키는 것이 제 1 보호층 증착 공정 및 제 2 후속의 낮은-k 유전층 개질 플라즈마 공정을 이용함으로써 수행되고,
    제 1 보호층 증착 공정을 이용하는 것이 낮은-k 유전층의 노출된 부분들 및 마스크 층 상에 보호층을 형성함을 포함하는 방법.
  9. 제 8항에 있어서, 제 1 보호층 증착 공정을 이용하는 것이 트리메틸 실란과 O2의 조합물을 유동시킴을 포함하는 방법.
  10. 제 8항에 있어서, 낮은-k 유전층 개질 플라즈마 공정을 이용하는 것이 Ar, N2, 또는 Ar과 N2 둘 모두를 사용함을 포함하는 방법.
  11. 제 8항에 있어서, 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거하는 것이 NF3/O2/N2, CF4/O2/N2 및 NF3/NH3로 이루어진 군으로부터 선택된 가스들의 조합물을 기반으로 한 플라즈마를 사용함을 포함하는 방법.
  12. 제 8항에 있어서, 낮은-k 유전층의 개질된 부분들을 원격 플라즈마 공정으로 제거하는 것이 보호층을 원격 플라즈마 공정으로 제거함을 추가로 포함하는 방법.
  13. 제 1항에 있어서, 상기 방법이,
    무질소 플라즈마 공정을 수행하기 위한 제 1 가공 스테이지; 및
    원격 플라즈마 공정을 수행하기 위한 제 2의 상이한 가공 스테이지를 포함하는 에칭 챔버 내에서 수행되는 방법.
  14. 제 13항에 있어서, 에칭 챔버가 제 1 가공 스테이지와 제 2 가공 스테이지 사이에 배치된 샤워헤드(showerhead)를 추가로 포함하고, 원격 플라즈마 공정을 수행하기 위한 제 2 가공 스테이지는 샤워헤드 위에 있고, 샤워헤드는 무질소 플라즈마 공정을 수행하기 위한 제 1 가공 스테이지 위에 있는 방법.
  15. 삭제
KR1020157014373A 2012-11-01 2013-10-25 낮은-k 유전 필름을 패턴화시키는 방법 KR102164568B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261721414P 2012-11-01 2012-11-01
US61/721,414 2012-11-01
US14/059,996 2013-10-22
US14/059,996 US9165783B2 (en) 2012-11-01 2013-10-22 Method of patterning a low-k dielectric film
PCT/US2013/066953 WO2014070619A1 (en) 2012-11-01 2013-10-25 Method of patterning a low-k dielectric film

Publications (2)

Publication Number Publication Date
KR20150079931A KR20150079931A (ko) 2015-07-08
KR102164568B1 true KR102164568B1 (ko) 2020-10-12

Family

ID=50547638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157014373A KR102164568B1 (ko) 2012-11-01 2013-10-25 낮은-k 유전 필름을 패턴화시키는 방법

Country Status (4)

Country Link
US (2) US9165783B2 (ko)
KR (1) KR102164568B1 (ko)
TW (2) TWI713116B (ko)
WO (1) WO2014070619A1 (ko)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9437449B2 (en) * 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US8987139B2 (en) 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
CN104164660B (zh) * 2014-08-26 2016-09-28 复旦大学 一种低介电常数多孔SiOCNH薄膜及其制备方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298668A (zh) * 2015-06-12 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10366902B2 (en) * 2016-02-22 2019-07-30 Tokyo Electron Limited Methods for cyclic etching of a patterned layer
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
KR102549308B1 (ko) * 2016-03-29 2023-06-30 도쿄엘렉트론가부시키가이샤 에칭 장치
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TWI810181B (zh) * 2017-04-26 2023-08-01 日商東京威力科創股份有限公司 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7229750B2 (ja) * 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220028142A (ko) * 2019-07-18 2022-03-08 매슨 테크놀로지 인크 수소 라디칼 및 오존 가스를 사용한 워크피스의 처리
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP2007110112A (ja) * 2005-10-12 2007-04-26 Samsung Electronics Co Ltd 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
US20070175858A1 (en) * 2006-02-01 2007-08-02 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US20080124919A1 (en) * 2006-11-06 2008-05-29 Cheng-Lin Huang Cleaning processes in the formation of integrated circuit interconnect structures
US20110254078A1 (en) * 2008-09-30 2011-10-20 Tokyo Electron Limited Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6991739B2 (en) 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
JP3643580B2 (ja) 2002-11-20 2005-04-27 株式会社東芝 プラズマ処理装置及び半導体製造装置
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US9236279B2 (en) 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
EP1784690A2 (en) 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7479191B1 (en) * 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
US7279427B2 (en) 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7998873B2 (en) 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
JP2011517368A (ja) * 2008-02-29 2011-06-02 アプライド マテリアルズ インコーポレイテッド 基板からポリマーを除去するための方法及び装置
WO2011090626A2 (en) * 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8940642B2 (en) 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8980754B2 (en) 2011-07-20 2015-03-17 Applied Materials, Inc. Method of removing a photoresist from a low-k dielectric film
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8871650B2 (en) 2011-10-28 2014-10-28 Applied Materials, Inc. Post etch treatment (PET) of a low-K dielectric film
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP2007110112A (ja) * 2005-10-12 2007-04-26 Samsung Electronics Co Ltd 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
US20070175858A1 (en) * 2006-02-01 2007-08-02 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US20080124919A1 (en) * 2006-11-06 2008-05-29 Cheng-Lin Huang Cleaning processes in the formation of integrated circuit interconnect structures
US20110254078A1 (en) * 2008-09-30 2011-10-20 Tokyo Electron Limited Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device

Also Published As

Publication number Publication date
WO2014070619A1 (en) 2014-05-08
TW201903899A (zh) 2019-01-16
US20150380215A1 (en) 2015-12-31
US20140120726A1 (en) 2014-05-01
US9165783B2 (en) 2015-10-20
TW201419416A (zh) 2014-05-16
TWI693641B (zh) 2020-05-11
KR20150079931A (ko) 2015-07-08
TWI713116B (zh) 2020-12-11
US11302519B2 (en) 2022-04-12

Similar Documents

Publication Publication Date Title
KR102164568B1 (ko) 낮은-k 유전 필름을 패턴화시키는 방법
KR102033685B1 (ko) 저-k 유전체 막을 패터닝하는 방법
US8741775B2 (en) Method of patterning a low-K dielectric film
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US8987139B2 (en) Method of patterning a low-k dielectric film
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US8980754B2 (en) Method of removing a photoresist from a low-k dielectric film
KR20200143494A (ko) 플라즈마 원자 층 증착을 제공하는 방법
US8647990B2 (en) Method of patterning a low-K dielectric film
JPH04237125A (ja) ドライエッチング方法
JP5642427B2 (ja) プラズマ処理方法
TWI831940B (zh) 溝槽中薄膜沉積的方法
KR102599015B1 (ko) 기판 처리 방법
CN104395990B (zh) 图案化低k介电膜的方法
OIQIU OIQIV%
Xiao et al. Surface modification of hydrogenated amorphous carbon (aC: H) films prepared by plasma enhanced chemical vapor deposition (PECVD)

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant