TWI810181B - 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法 - Google Patents

使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法 Download PDF

Info

Publication number
TWI810181B
TWI810181B TW107114138A TW107114138A TWI810181B TW I810181 B TWI810181 B TW I810181B TW 107114138 A TW107114138 A TW 107114138A TW 107114138 A TW107114138 A TW 107114138A TW I810181 B TWI810181 B TW I810181B
Authority
TW
Taiwan
Prior art keywords
etching method
plasma
chemical mixture
processing gas
substrate
Prior art date
Application number
TW107114138A
Other languages
English (en)
Other versions
TW201903887A (zh
Inventor
維納亞克 哈斯托基
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201903887A publication Critical patent/TW201903887A/zh
Application granted granted Critical
Publication of TWI810181B publication Critical patent/TWI810181B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述一種蝕刻的方法。該方法包含提供具有包含有機材料的第一材料及不同於第一材料之第二材料的基板、藉由電漿激發包含惰性氣體的第一處理氣體而形成第一化學混合物、及將基板上的第一材料曝露於該第一化學混合物。之後,該方法包含:藉由電漿激發包含S和O及選用性的稀有氣體、或包含C和O及選用性的稀有氣體的第二處理氣體而形成第二化學混合物;及將基板上的第一材料曝露於經電漿激發的第二處理氣體,以相對於第二材料選擇性地蝕第一材料。

Description

使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法
本發明關於一種用於蝕刻的方法,且尤其關於針對電子元件應用用於蝕刻薄膜的精確蝕刻技術。 [相關申請案]
此申請案主張下列共同待審之臨時申請案的優先權:於2017年4月26日申請、題為“METHOD OF CYCLIC PLASMA ETCHING OF ORGANIC FILM USING SULFUR-BASED CHEMISTRY”的美國臨時專利申請案序號第62/490,504號、及於2017年4月26日申請、題為“METHOD OF CYCLIC PLASMA ETCHING OF ORGANIC FILM USING CARBON-BASED CHEMISTRY”的美國臨時專利申請案序號第62/490,512號,其全部內容於此藉由參照納入本案揭示內容。
本發明關於一種製造諸如積體電路及用於積體電路的電晶體及電晶體元件之半導體元件的方法。在半導體元件的製造中(特別是在微觀尺度上),執行諸多製造製程,諸如成膜沉積、蝕刻遮罩產生、圖案化、材料蝕刻和移除、及摻雜處理係重複地執行以在基板上形成期望的半導體元件。歷史上,使用微製程的情況下,已使電晶體產生在一平面中,而配線/金屬化在上方形成,且因而以二維(2D)電路或2D製造為特徵。縮放上的努力已大幅增加2D電路中每單位面積之電晶體的數目,然而隨著縮放進入單位數奈米半導體元件製造節點,縮放上的努力遭遇更大的挑戰。半導體元件製造者已表達對於其中電晶體堆疊於彼此的頂部上之三維(3D)半導體元件的期望。
隨著元件結構緻密化及垂直地發展,對於精密材料蝕刻的需求變得更加強烈。在選擇性、輪廓、ARDE(深寬比相依的蝕刻)、及電漿蝕刻製程中的均勻性之間的權衡變得難以管理。目前藉由平衡這些權衡而進行圖案化及圖案轉移的方法係無法長期保持的。這些權衡的根本原因係無法獨立控制離子能量、離子通量、及自由基通量。然而,諸如原子層蝕刻(ALE)的自限制製程提供一種可行的方法,以藉由將蝕刻製程分成表面改質及改質之表面區域的移除之連續步驟而避開這些權衡,從而允許自由基通量及離子通量和能量之作用的分離。
本文技術關於使用精確蝕刻技術的元件製造。
描述一種蝕刻的方法。該方法包含提供具有包含有機材料的第一材料及不同於第一材料之第二材料的基板、藉由電漿激發包含惰性氣體的第一處理氣體而形成第一化學混合物、及將基板上的第一材料曝露於該第一化學混合物。之後,該方法包含:藉由電漿激發包含S和O及選用性的稀有氣體、或包含C和O及選用性的稀有氣體的第二處理氣體而形成第二化學混合物;及將基板上的第一材料曝露於經電漿激發的第二處理氣體,以相對於第二材料選擇性地蝕第一材料。在一實施例中,第二處理氣體可包含COS。在另一實施例中,第二處理氣體可包含CO、CO2 、或SO2
當然,如本文描述之不同步驟的討論順序已為了清楚起見而呈現。通常,這些步驟可以任何適當的順序執行。此外,雖然本文各個不同的特徵、技術、配置等可在本揭示內容的不同地方討論,但吾人欲使各概念可彼此獨立或彼此結合而實行。因此,本發明可以許多不同的方式體現及審視。
注意此發明內容章節未明確指出本揭示內容或所請發明的所有實施例及/或增加的新穎實施態樣。取而代之的是,此發明內容僅提供不同實施例的初步討論、及優於習知技術的新穎性對應點。對於本發明及實施例的額外細節及/或可能的看法,讀者可參照下方進一步討論的實施方式章節及本揭示內容之相對應的圖式。
本文的技術關於使用精確蝕刻技術的元件製造。一些例子顯示在半導體製造中之前段製程(FEOL,例如電晶體製造)直至後段製程(BEOL,例如互連線製造)兩者中,其中氧化物及氮化物膜(通常本質上為含矽的)需要以高精度蝕刻。
半導體製造中的許多製造序列需要用於2D(二維)及3D(三維)元件結構兩者的精確蝕刻技術。儘管如此,三層蝕刻遮罩(例如包含光阻、抗反射塗層(ARC)、有機碳膜)仍作為將遮罩圖案化至下方層中的主力。目前的挑戰涉及獲得在沒有加載人造物的情況下之圖案轉移、垂直側壁輪廓、及對ARC層具有極佳選擇性的方形底部。連續的電漿蝕刻製程不允許針對如此挑戰的解決方案。
根據諸多實施例,描述使用包含硫基化學品和碳基化學品的化學品之精確循環式電漿蝕刻技術以供蝕刻有機碳膜。對於硫基化學品而言,氣態化學品包含S和O(例如:COS/O2 /Ar氣體混合物、或SO2 /O2 /Ar氣體混合物),以供使用作為遮罩的諸多層(包括含矽ARC層)蝕刻有機碳膜。對於碳基化學品而言,氣態化學品包含C和O(例如:CO/O2 /Ar氣體混合物、或CO2 /O2 /Ar氣體混合物),以供使用作為遮罩的諸多層(包括含矽ARC層)蝕刻有機碳膜。
根據幾個實施例,圖1及2說明蝕刻薄膜的方法。描繪成流程圖200的方法包含:提供具有包含有機材料的第一材料100及不同於第一材料100之第二材料110的基板;在步驟210中藉由電漿激發包含惰性氣體之第一處理氣體而形成第一化學混合物;及在步驟220中將基板上的第一材料曝露於該第一化學混合物,以上組合描繪成圖1中的製程102。在製程102期間,將第一材料100之曝露的表面改質至有限的深度,以在曝露於第一化學混合物期間在第一材料100中形成經改質的子層112。舉例而言,發明人推測碳-碳鍵可在蝕刻循環的此階段期間受到破壞。
之後,該方法包含:在步驟230中藉由電漿激發包含S和O、及選用性的稀有元素之第二處理氣體而形成第二化學混合物,且在步驟240中將基板上的第一材料100曝露於第二電漿激發處理氣體,以相對於第二材料選擇性地蝕刻第一材料100,以上組合描繪成圖1中的製程104。在製程104期間,在曝露於第二化學混合物期間移除或蝕刻第一材料中之經改質的子層112。而且,在製程104期間,在曝露於第二化學混合物期間,在第二材料110上形成保護層114。舉例而言,發明人推測在使用經由O2 、及COS或SO2 氣體之解離而獲得的O*自由基之解吸附階段期間移除經改質的碳層。S*自由基可在第二材料110的側壁表面上與C原子形成錯合物,而提供含Cx Sy 的保護層以在解吸附階段期間避免側壁消耗。此外,含Cx Sy 的保護層可提供ARC遮罩保護極高的選擇性。或者,該方法包含:在步驟230中藉由電漿激發包含C和O、及選用性的稀有元素之第二處理氣體而形成第二化學混合物,且在步驟240中將基板上的第一材料100曝露於第二電漿激發處理氣體,以相對於第二材料選擇性地蝕刻第一材料100,以上組合描繪成圖1中的製程104。
又進一步,該方法可包含藉由電漿激發包含惰性氣體之第一處理氣體而形成第一化學混合物、及將基板上的第一材料曝露於該第一化學混合物,以上組合描繪成圖1中的製程106。在製程106期間,將第一材料100之曝露的表面改質至有限的深度,以在曝露於第一化學混合物期間在第一材料100中形成另一經改質的子層116。
待蝕刻的第一材料100包含有機材料、實質上由有機材料所組成、或由有機材料所組成。有機材料可包含硬遮罩、軟遮罩、或平坦化層。第一材料可包含含碳遮罩,諸如非晶形碳。可使用氣相沉積製程或旋塗沉積製程沉積第一材料。
第二材料110可包含單層或多層堆疊。第二材料110可如圖1所示受到圖案化。第二材料可包含無機材料。第二材料可包含Si、Ge、或金屬(M)、及選用性之選自由O、N、C、F、Cl、Br、及S所組成之群組的一或更多元素。第二材料可包含矽、矽氧化物、矽氮化物、矽碳化物、金屬、金屬氧化物、金屬氮化物、金屬碳化物、或金屬合金、或其組合。第二材料可包括含Si的抗反射塗層(ARC)及矽氧化物(例如Si含量可小於20%、或大於40%)。可使用氣相沉積製程或旋塗沉積製程沉積第二材料。
如上所述,第一化學混合物係自電漿激發第一處理氣體而形成。第一處理氣體包含惰性氣體,諸如稀有氣體。在一實施例中,第一處理氣體包含Ar。在另一實施例中,第一處理氣體實質上由Ar所組成或由Ar所組成。
亦如上所述,第二化學混合物係自電漿激發第二處理氣體而形成。第二處理氣體可包含硫(S)和氧(O)、且可選用性地包含稀有元素,諸如Ar(氬)。第二處理氣體可包含添加劑,諸如CO、CO2 、O2 、H2 、N2 、Cx Hy 、Cx Rz 、或Cx Hy Rz (其中x、y、及z係大於0的整數,且R係鹵素元素)。第二處理氣體可包含具有S及O的化合物,諸如COS、SO2 、或SO3 。在一實施例中,第二處理氣體包含SO2 、O2 、及Ar。在另一實施例中,第二處理氣體實質上由SO2 、O2 、及Ar所組成或由SO2 、O2 、及Ar所組成。或者,在另一實施例中,第二處理氣體包含COS、O2 、及Ar。在又另一實施例中,第二處理氣體實質上由COS、O2 及Ar所組成或由COS、O2 及Ar所組成。
或者,第二處理氣體可包含碳(C)和氧(O),且可選用性地包含稀有元素,諸如Ar(氬)。第二處理氣體可包含具有C及O兩者的化合物,諸如CO或CO2 。第二處理氣體可包含添加劑,諸如COS、SO2 、O2 、H2 、N2 、Cx Hy 、Cx Rz 、或Cx Hy Rz (其中x、y、及z係大於0的整數,且R係鹵素元素)。舉例而言,第二處理氣體可包含CO、或CO2 、或CO及CO2 兩者。在一實施例中,第二處理氣體包含CO2 、O2 、及Ar。在另一實施例中,第二處理氣體實質上由CO2 、O2 、及Ar所組成或由CO2 、O2 、及Ar所組成。或者,在另一實施例中,第二處理氣體包含CO、O2 、及Ar。在又另一實施例中,第二處理氣體實質上由CO、O2 及Ar所組成或由CO、O2 及Ar所組成。
第一處理氣體及/或第二處理氣體的電漿激發可原位(即,該第一及/或第二化學混合物在氣相、鄰近接觸基板的真空環境內形成)、或異地(即,該第一及/或第二化學混合物在相對於基板遠程配置之氣相的真空環境內形成)執行。圖3A至3D提供可用以促進電漿激發處理氣體的若干電漿產生系統。圖3A描繪電容耦合電漿(CCP)系統,其中電漿在上板電極(UEL)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電夾頭(ESC)以支撐及固定基板。電漿藉由將射頻(RF)功率耦合至該等電極的其中至少一者而形成。如圖3A所示,RF功率耦合至上及下電極兩者,且功率耦合可包含不同的RF頻率。或者,多個RF功率源可耦合至相同的電極。此外,直流(DC)功率可耦合至上電極。
圖3B描繪感應耦合電漿(ICP)系統,其中電漿在感應元件(例如平面的、或螺線管/螺旋的線圈)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電卡盤(ESC)以支撐及固定基板。電漿藉由將射頻(RF)功率耦合至感應耦合元件而形成。如圖3B所示,RF功率耦合至感應元件及下電極兩者,且功率耦合可包含不同的RF頻率。
圖3C描繪表面波電漿(SWP)系統,其中電漿在槽狀平面天線(slotted plane antenna)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電夾頭(ESC)以支撐及固定基板。電漿藉由將在微波頻率的射頻(RF)功率經由波導及同軸線耦合至槽狀平面天線而形成。如圖3C所示,RF功率耦合至槽狀平面天線及下電極兩者,且功率耦合可包含不同的RF頻率。
圖3D描繪遠程電漿系統,其中電漿在遠離基板且藉由過濾器與基板分隔的區域中形成,該過濾器配置成阻止帶電粒子從遠程電漿源至靠近基板之處理區域的傳送。基板藉由下板電極(LEL)支撐,該下板電極(LEL)亦作為靜電夾頭(ESC)以容納基板。電漿藉由將射頻(RF)功率耦合至毗鄰位於遠處區域的電漿產生裝置而形成。如圖3D所示,RF功率耦合至毗鄰遠程區域的電漿產生裝置及下電極兩者,且功率耦合可包含不同的RF頻率。
圖3A至3D的電漿處理系統意圖描繪用於實施所描述的步驟式離子/自由基製程的諸多技術。其他實施例係設想包含所描述之系統的組合及變化兩者。
當藉由電漿激發包含惰性氣體(諸如稀有氣體)之第一處理氣體而形成第一化學混合物、且將基板上的第一材料曝露於該第一化學混合物時,用於曝露步驟的氣體壓力可小於或等於1000毫托。舉例而言,氣體壓力的範圍可自10毫托至100毫托。此外,可藉由將RF功率耦合至下板電極(LEL)而對基板施以電性偏壓。RF功率亦可能不施加至電漿產生裝置。
當藉由電漿激發包含S和O(諸如COS或SO2 )、O2 、及選用性的稀有氣體之第二處理氣體而形成第二化學混合物、且將基板上的第二材料曝露於第二化學混合物時,用於曝露步驟的氣體壓力可小於或等於1000毫托。舉例而言,氣體壓力的範圍可自10毫托至100毫托。此外,可藉由將RF功率耦合至下板電極(LEL)而對基板施以電性偏壓。RF功率亦可能不施加至電漿產生裝置。
當藉由電漿激發包含稀有氣體(例如Ar)之第一處理氣體而形成第一化學混合物、且將基板上的第一材料曝露於該第一化學混合物時,及當藉由電漿激發包含S和O(例如COS或SO2 )之第二處理氣體而形成第二化學混合物時,發明人已觀察到循環式電漿蝕刻導致(i)幾乎沒有橫向蝕刻、(ii)幾乎沒有遮罩底切、及(iii)幾乎沒有深寬比相依蝕刻(ARDE)。
在以下的申請專利範圍中,任何附屬項的限制可依附於任何獨立請求項。
在先前的描述中已說明具體細節,諸如處理系統的特殊幾何結構及其中使用的諸多元件與製程的描述。然而應理解,本文技術可在背離這些具體細節的其他實施例中實行,且此等細節係以解釋而非限制為目的。本文揭示的實施例已參考隨附圖式描述。同樣地,為了解釋的目的,已說明特定的數字、材料、及配置以提供完整的理解。僅管如此,實施例可在無如此具體細節的情況下實施。具有實質上相同功能性結構的元件以類似的參考符號表示,且因此可省略任何冗餘的描述。
為了有助於理解諸多實施例,將諸多技術以多個分立操作描述。不應將所述之順序理解成暗示該等操作必定為順序相依。尤其,該等操作不需以敘述的順序執行。所述之操作可以不同於所述實施例的順序執行。在額外的實施例中,可執行諸多額外操作及/或可省略所述之操作。
如本文使用的「基板」或「目標基板」泛指根據本發明所處理的物件。基板可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,且例如可為基底基板結構,諸如半導體晶圓、倍縮光罩、或基底基板結構之上或覆蓋基底基板結構的一層(諸如薄膜)。因此,基板不限於任何特定的基底結構、下方層或覆蓋層、圖案化或未圖案化,而是設想為包含任何如此的層或基底結構、及層及/或基底結構的任何組合。此描述可能論及特定類型的基板,但此僅用於說明之目的。
精於本項技術之人士亦將理解對於以上所述技術的操作,可做出許多變化,且仍達到本發明的相同目標。如此變化意圖由本揭示內容的範圍所包含。因此,本發明之實施例的先前描述非意圖為限制性的。更準確地說,本發明之實施例的任何限制係呈現於以下申請專利範圍中。
100‧‧‧第一材料102‧‧‧製程104‧‧‧製程106‧‧‧製程110‧‧‧第二材料112‧‧‧子層114‧‧‧保護層116‧‧‧子層200‧‧‧流程圖210‧‧‧步驟220‧‧‧步驟230‧‧‧步驟240‧‧‧步驟
在隨附圖式中:
圖1描繪根據一實施例之在基板上蝕刻薄膜之方法的示意圖;
圖2提供說明根據一實施例之蝕刻基板之方法的流程圖;及
圖3A至3D根據諸多實施例提供用於執行蝕刻的方法之電漿處理系統的示意圖。
200‧‧‧流程圖
210‧‧‧步驟
220‧‧‧步驟
230‧‧‧步驟
240‧‧‧步驟

Claims (19)

  1. 一種蝕刻方法,包含:提供具有第一材料及不同於該第一材料之第二材料的一基板,該第一材料包含有機材料;藉由電漿激發包含一稀有氣體的第一處理氣體而形成第一化學混合物;將該基板上的該第一材料曝露於該第一化學混合物;之後,藉由電漿激發包含O及選自由S和C、及選用性之一稀有元素所組成之群組之至少一元素的第二處理氣體而形成第二化學混合物;及將該基板上的該第一材料曝露於經電漿激發的該第二處理氣體,以相對於該第二材料選擇性地蝕該第一材料。
  2. 如申請專利範圍第1項之蝕刻方法,其中,該第一處理氣體包含Ar。
  3. 如申請專利範圍第1項之蝕刻方法,其中,該第一處理氣體由Ar所組成。
  4. 如申請專利範圍第1項之蝕刻方法,其中,該第二處理氣體包含具有S和O兩者的一化合物、或具有C和O兩者的一化合物。
  5. 如申請專利範圍第1項之蝕刻方法,其中,該第二處理氣體包含CO、CO2、COS、或SO2
  6. 如申請專利範圍第1項之蝕刻方法,其中,該第二處理氣體由O2、Ar、及選自由CO2、CO、SO2、及COS所組成之群組的一氣體所組成。
  7. 如申請專利範圍第1項之蝕刻方法,其中該第二處理氣體更包含O2、H2、N2、CxHy、CxRz、或CxHyRz,且其中x、y、及z係大於0的整數,且R係鹵素元素。
  8. 如申請專利範圍第1項之蝕刻方法,其中,該第一材料包含非晶形碳、或含碳的硬遮罩。
  9. 如申請專利範圍第1項之蝕刻方法,其中,該第二材料包含無機材料。
  10. 如申請專利範圍第1項之蝕刻方法,其中,該第二材料包含Si、Ge、或金屬(M)、及選用性之選自由O、N、C、F、Cl、Br、及S所組成之群組的一或更多元素。
  11. 如申請專利範圍第1項之蝕刻方法,其中,該第二材料包含矽、矽氧化物、矽氮化物、矽碳化物、金屬、金屬氧化物、金屬氮化物、金屬碳化物、或金屬合金、或其組合。
  12. 如申請專利範圍第1項之蝕刻方法,其中,該第二材料包括含Si的抗反射塗層(ARC)及矽氧化物。
  13. 如申請專利範圍第1項之蝕刻方法,更包含: 將該第一材料之曝露的表面改質至有限的深度,以在曝露於該第一化學混合物期間在該第一材料中形成經改質的一子層;及在曝露於該第二化學混合物期間,在該第一材料中蝕刻經改質的該子層。
  14. 如申請專利範圍第13項之蝕刻方法,更包含:在曝露於該第二化學混合物期間,在該第二材料上形成一保護層。
  15. 如申請專利範圍第14項之蝕刻方法,更包含:在曝露於該第一化學混合物期間,移除該第二材料上的該保護層。
  16. 如申請專利範圍第1項之蝕刻方法,其中,該電漿激發該第一處理氣體或該第二處理氣體的步驟,包括使用包含一上板電極及支撐該基板之一下板電極的一電容耦合電漿源產生電漿。
  17. 如申請專利範圍第1項之蝕刻方法,其中,該電漿激發該第一處理氣體或該第二處理氣體的步驟,包括使用包含一感應元件及支撐該基板之一下板電極的一感應耦合電漿源產生電漿。
  18. 如申請專利範圍第1項之蝕刻方法,其中,該電漿激發該第一處理氣體或該第二處理氣體的步驟,包括使用產生一遠程電漿源產生電漿。
  19. 如申請專利範圍第1項之蝕刻方法,更包含: 重複形成該第一化學混合物、將該第一材料曝露於該第一化學混合物、形成該第二化學混合物、及將該第一材料曝露於該第二化學混合物的步驟,以逐漸移除該第一材料的額外部分。
TW107114138A 2017-04-26 2018-04-26 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法 TWI810181B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490512P 2017-04-26 2017-04-26
US201762490504P 2017-04-26 2017-04-26
US62/490,512 2017-04-26
US62/490,504 2017-04-26

Publications (2)

Publication Number Publication Date
TW201903887A TW201903887A (zh) 2019-01-16
TWI810181B true TWI810181B (zh) 2023-08-01

Family

ID=64329206

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107114138A TWI810181B (zh) 2017-04-26 2018-04-26 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法

Country Status (2)

Country Link
KR (1) KR102638422B1 (zh)
TW (1) TWI810181B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048787A1 (en) * 2003-08-28 2005-03-03 Nobuyuki Negishi Dry etching method and apparatus
US20050253272A1 (en) * 2002-04-03 2005-11-17 Nec Corporation Semiconductor device and its manufacturing method
US20140120726A1 (en) * 2012-11-01 2014-05-01 Srinivas D. Nemani Method of patterning a low-k dielectric film
US20150170933A1 (en) * 2010-02-24 2015-06-18 Tokyo Electron Limited Etching processing method
TW201635334A (zh) * 2014-12-04 2016-10-01 蘭姆研究公司 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI455203B (zh) * 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
JP5330747B2 (ja) 2008-06-30 2013-10-30 三菱重工業株式会社 半導体装置用絶縁膜、半導体装置用絶縁膜の製造方法及び製造装置、半導体装置及びその製造方法
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253272A1 (en) * 2002-04-03 2005-11-17 Nec Corporation Semiconductor device and its manufacturing method
US20050048787A1 (en) * 2003-08-28 2005-03-03 Nobuyuki Negishi Dry etching method and apparatus
US20150170933A1 (en) * 2010-02-24 2015-06-18 Tokyo Electron Limited Etching processing method
US20140120726A1 (en) * 2012-11-01 2014-05-01 Srinivas D. Nemani Method of patterning a low-k dielectric film
TW201635334A (zh) * 2014-12-04 2016-10-01 蘭姆研究公司 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術

Also Published As

Publication number Publication date
TW201903887A (zh) 2019-01-16
KR102638422B1 (ko) 2024-02-19
KR20180120118A (ko) 2018-11-05

Similar Documents

Publication Publication Date Title
JP6532066B2 (ja) 原子層をエッチングする方法
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
US20200381263A1 (en) Method of processing target object
KR102363052B1 (ko) 피처리체를 처리하는 방법
US9911607B2 (en) Method of processing target object
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
TWI784967B (zh) 矽氮化物之準原子層蝕刻方法
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
TWI746622B (zh) 用以製造自對準塊體結構之矽氮化物心軸的不等向性抽出方法
TWI785110B (zh) 用於自對準多重圖案化之選擇性氧化物蝕刻方法
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
TWI761461B (zh) 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
TWI810181B (zh) 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法
TWI830129B (zh) 蝕刻裝置及蝕刻方法
TWI756367B (zh) 矽氮化物之準原子層蝕刻方法
TWI794289B (zh) 用於自對準多重圖案化之選擇性氮化物蝕刻方法