KR101912534B1 - 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 - Google Patents

포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 Download PDF

Info

Publication number
KR101912534B1
KR101912534B1 KR1020170092987A KR20170092987A KR101912534B1 KR 101912534 B1 KR101912534 B1 KR 101912534B1 KR 1020170092987 A KR1020170092987 A KR 1020170092987A KR 20170092987 A KR20170092987 A KR 20170092987A KR 101912534 B1 KR101912534 B1 KR 101912534B1
Authority
KR
South Korea
Prior art keywords
film
delete delete
porogen
cyclic
branched
Prior art date
Application number
KR1020170092987A
Other languages
English (en)
Other versions
KR20170089804A (ko
Inventor
레이몬드 니콜라스 브르티스
마크 레너드 오닐
진 루이스 빈센트
아론 스코트 루카스
메리 캐서린 하스
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170089804A publication Critical patent/KR20170089804A/ko
Application granted granted Critical
Publication of KR101912534B1 publication Critical patent/KR101912534B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 다공성 유기실리카 유리 필름을 제조하기 위한 화학 증기 증착 방법으로서, 진공 챔버에 유기실란 및 유기실록산으로 구성된 군으로부터 선택된 1 이상의 전구체, 및 상기 전구체와는 별개인 포로겐을 포함하는 기체 시약을 투입하는 단계(여기서, 상기 포로겐은, 비분지쇄형 구조를 가지며 불포화도가 2 이하인 C4 내지 C14 환형 탄화수소 화합물임); 진공 챔버 내의 기체 시약에 에너지를 가하여 기체 시약의 반응을 유도함으로써 예비 필름을 기재 상에 증착시키는 단계(여기서, 상기 예비 필름은 포로겐을 함유함); 및 상기 예비 필름으로부터 실질적으로 모든 불안정한 유기 물질을 제거하여 공극을 보유하고 유전 상수가 2.6 미만인 다공성 필름을 제공하는 단계를 포함하는 방법에 관한 것이다.

Description

포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법{POROGENS, POROGENATED PRECURSORS AND METHODS FOR USING THE SAME TO PROVIDE POROUS ORGANOSILICA GLASS FILMS WITH LOW DIELECTRIC CONSTANTS}
관련 출원의 교차 참조
본 출원은 35 U.S.C §119(e) 하에서 2002년 4월 17일에 가출원한 미국 특허 출원 제60/373,104호로부터 우선권을 주장하고, 2003년 4월 7일에 출원한 미국 특허 출원 제10/409,468의 일부계속출원이며, 2002년 5월 17일에 출원한 미국 특허 출원 제10/150,798호의 일부계속출원이고, 이들 명세서 전부는 본 원에서 참조 인용한다.
기술분야
본 발명은 CVD 방법에 의하여 제조된 유전 상수가 낮은 물질 분야에 관한 것이다. 특히, 본 발명은 상기 물질의 필름 제조 방법 및 전자 장치에서의 절연층으로서의 이의 용도에 관한 것이다.
전자 산업에서는 집적 회로(IC)의 성분과 회로 사이의 절연층으로서 유전체 물질 및 관련된 전자 장치를 이용한다. 마이크로전자 장치(예컨대, 컴퓨터 칩)의 속도 및 메모리 저장능을 증가시키기 위하여 라인 치수를 감소시키고 있다. 라인 치수가 감소함에 따라, 층간 유전체(ILD)에 대한 절연 요건은 훨씬 더 엄격해진다. 공간을 축소시키는 것은 RC 시간 상수를 최소화하기 위하여 유전 상수가 낮을 것을 필요로 하는데, 여기서 R은 전도성 라인의 저항력이고, C는 층간 절연 유전체의 전기용량이다. C의 값은 공간에 반비례하고, 층간 유전체(ILD)의 유전 상수(k)에 비례한다. SiH4 또는 TEOS[Si(OCH2CH3)4, 테트라에틸오르토실리케이트] 및 O2로부터 생산된 통상의 실리카(SiO2) CVD 유전체 필름은 유전 상수 k가 4.0보다 크다. 본 산업에서는 유전 상수가 낮은 실리카계 CVD 필름을 생산하려고 시도한 여러가지 방법이 있었으며, 그중 가장 성공적인 것은 2.7∼3.5 범위의 유전 상수를 제공하는 유기 기를 갖는 절연 산화규소 필름을 도핑시키는 것이다. 이 유기실리카 유리는 메틸실란 또는 실록산과 같은 유기규소 전구체 및 O2 또는 N2O와 같은 산화제로부터 통상 고밀도 필름(밀도 ~ 1.5 g/cm3)으로서 침착된다. 유기실리카 유리는 본 원에서 OSG라고 한다. 장치의 밀도는 더 높아지고 치수는 더 작아지는 경우 유전 상수 또는 "k" 값이 2.7 이하로 떨어지기 때문에, 본 산업은 고밀도 필름에 대하여 적절한 낮은 k의 조성물에 대한 관심을 떨어뜨리고, 개질된 절연 특성에 대한 다양한 다공성 물질로 관심을 돌렸다.
CVD 방법에 의한 다공성 ILD의 분야에 공지된 특허 및 출원으로는 N2O와 같은 산화제 및 임의로 과산화물의 존재 하에 불안정한 기를 갖는 유기규소 전구체로부터 OSG 필름을 침착시키고, 이어서 열적 어닐링으로 불안정한 기를 제거하여 다공성 OSG를 제공하는 방법이 기재되어 있는 EP 1 119 035 A2 및 미국 특허 제6,171,945호; 산화 어닐링으로 침착된 OSG로부터 거의 모든 유기 기를 제거하여 다공성 무기 SiO2를 얻는 것이 교시된 미국 특허 제6,054,206호 및 제6,238,751호; 산화 플라즈마에 의한 후속 처리에 의하여 다공성 무기 SiO2로 변형되는 수소화 규소 카바이드의 침착이 기재되어 있는 EP 1 037 275; 및 유기규소 전구체 및 유기 화합물로부터 필름을 공침착시키고, 이어서 열적 어닐링하여 중합화된 유기 성분의 일부가 보유되어 있는 다중상의 OSG/유기 필름을 제공하는 것이 교시되어 있는 미국 특허 제6,312,793 B1호, WO 00/24050 및 문헌[Grill, A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805]가 있다. 이들 후자의 문헌에 있어서, 궁극적인 최종 필름 조성물은 잔여 포로겐 및 높은 탄화수소 필름 함량(80-90 원자%)을 나타낸다. 최종 필름은 유기 기에 대하여 산소 원자의 일부가 치환된 SiO2 유사 네트워크를 보유하는 것이 바람직하다.
본 원에서 개시된 모든 문헌은 그 전체가 본 명세서에 참조 인용된다.
유기실리케이트는 k가 낮은 물질에 대한 후보물질이나, 이들 물질에 다공성을 추가하기 위한 포로겐의 추가 없이 이들의 본래 유전 상수는 2.7만큼 낮게 제한된다. 비어있는 공간의 본래 유전 상수가 1.0인 경우, 다공성의 추가는 일반적으로 기계적 특성의 희생으로 상기 필름의 전체 유전 상수를 감소시킨다. 물성은 화학 조성물 및 상기 필름의 구조에 따라 다르다. 유기규소 전구체의 종류는 상기 필름 구조 및 조성에 강한 영향을 미치기 때문에, 소정의 유전 상수를 달성하기 위하여 필요한 양의 다공성의 부여가 기계적으로 견고하지 못한 필름을 생성하지 않도록 하기 위해 요구되는 필름 특성을 제공하는 전구체를 사용하는 것이 이롭다. 따라서, 본 발명은 전기적 특성과 기계적 특성에서 바람직한 균형을 갖는 다공성 OSG 필름을 생산하기 위한 수단을 제공한다. 기타의 필름 특성은 종종 전기 특성 또는 기계 특성을 따른다.
발명의 개요
화학식 SivOwCxHyFz(여기서, v+w+x+y+z = 100%이고, v는 10∼35 원자%이며, w는 10∼65 원자%이고, x는 5∼30 원자%이며, y는 10∼50 원자%이고, z는 0∼15 원자%임)로 표시되는 다공성 유기실리카 유리 필름을 제조하기 위한 화학 증기 증착 방법으로서, 기재를 진공 챔버에 공급하는 단계; 상기 진공 챔버에 유기실란 및 유기실록산으로 구성된 군으로부터 선택된 1 이상의 전구체, 및 상기 전구체와는 별개인 포로겐을 포함하는 기체 시약을 투입하는 단계(여기서, 상기 포로겐은, 비분지쇄형 구조를 가지며 불포화도가 2 이하인 C4 내지 C14 환형 탄화수소 화합물임); 진공 챔버 내의 기체 시약에 에너지를 가하여 기체 시약의 반응을 유도함으로써 예비 필름을 기재 상에 증착시키는 단계(여기서, 상기 예비 필름은 포로겐을 함유함); 상기 예비 필름으로부터 실질적으로 모든 불안정한 유기 물질을 제거하여 공극을 보유하고 유전 상수가 2.6 미만인 다공성 필름을 제공하는 단계
를 포함하는 방법이 제공된다.
또다른 양태에서, 본 발명은 (a)(i) 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란 및 테트라에톡시실란, 트리메틸실란, 테트라메틸실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)메탄, 테트라에톡시실란, 트리에톡시실란 및 이의 혼합물로 구성된 군으로부터 선택된 1 이상의 전구체; 및 (ⅱ) 상기 1 이상의 전구체와는 별개인 포로겐(상기 포로겐은 시클로옥텐, 시클로헵텐, 시클로옥탄, 시클로헵탄 및 이의 혼합물로 구성된 군으로부터 선택되는 일원임)을 포함하는 조성물을 제공한다.
비분지쇄형 구조를 갖고 불포화도가 2 이하인 본 발명에 따른 C4 내지 C14 환형 화합물은 포로겐으로 적용되는 경우에 다공성 저유전체 필름에서 매우 월등한 기계적 특성을 산출한다.
도 1은 열적으로 불안정한 기의 제거를 나타내는, 후 어닐링 전 및 후에 측정한, 본 발명의 필름과 혼합된 열적으로 불안정한 기를 사용한 본 발명의 필름의 적외선 스펙트럼을 나타낸다.
도 2는 상기 필름의 성분들의 피크를 나타내는 본 발명의 필름의 적외선 스펙트럼이다.
도 3은 본 발명에서 공극-형성 첨가제로서 유용한 열적으로 불안정한 기, ATP의 적외선 스펙트럼이다.
도 4는 필름으로부터 열적으로 불안정한 기의 손실에 의한 중량 손실을 나타내는, 어닐링 도중의 본 발명의 필름의 열중량 분석이다.
도 5는 포로겐 제거 전 본 발명에 따른 조성물 필름의 적외선 스펙트럼이다.
도 6는 본 발명에 따른 조성물 필름 및 폴리에틸렌의 비교 적외선 스펙트럼을 예시한다.
도 7은 본 발명에 따른 바람직한 포로겐이 적용되는 경우의 이로운 챔버 소제를 예시한다.
도 8은 본 발명에 따른 조성물 필름의 비교 적외선 스펙트럼을 예시한다.
도 9는 본 발명에 따른 필름의 특정 기계적 특성을 예시한다.
도 10은 본 발명에 따른 필름의 특정 기계적 특성을 예시한다.
도 11은 본 발명의 실시양태에 따른 필름의 적외선 (FT-IR) 스펙트럼이다.
유기실리케이트는 k가 낮은 물질에 대한 후보물질이나, 이들 물질에 다공성을 추가하기 위한 포로겐의 추가 없이 이들의 본래 유전 상수는 2.7만큼 낮게 제한된다. 비어있는 공간의 본래 유전 상수가 1.0인 경우, 다공성의 추가는 일반적으로 기계적 특성의 희생으로 상기 필름의 전체 유전 상수를 감소시킨다. 물성은 화학 조성물 및 상기 필름의 구조에 따라 다르다. 유기규소 전구체의 종류는 상기 필름 구조 및 조성에 강한 영향을 미치기 때문에, 소정의 유전 상수를 달성하기 위하여 필요한 양의 다공성의 부여가 기계적으로 견고하지 못한 필름을 생성하지 않도록 하기 위해 요구되는 필름 특성을 제공하는 전구체를 사용하는 것이 이롭다. 따라서, 본 발명은 전기적 특성과 기계적 특성에서 바람직한 균형을 갖는 다공성 OSG 필름을 생산하기 위한 수단을 제공한다. 기타의 필름 특성은 종종 전기 특성 또는 기계 특성을 따른다.
본 발명의 바람직한 실시양태는 기타의 다공성 유기실리카 유리 물질에 비하여 낮은 유전 상수와 향상된 기계적 특성, 열 안정성 및 화학적 저항성(산소, 수성 산화 환경 등에 대한 저항성)을 갖는 얇은 필름 물질을 제공한다. 이것은 필름으로 탄소[바람직하게는 주로 유기 탄소, -CHx(여기서, x는 1 내지 3임)의 형태이고, 좀더 바람직하게 대부분의 C는 -CH3의 형태임]가 혼입되어 특정 전구체 또는 네트워크 형성 화학물질이 산화제(임의의 첨가제/운반 가스 CO2 이외에 산화제로서 기능하는 것으로 생각되는 범위까지 포함됨)가 없는 환경에서 필름을 침착시키는데 사용되는 결과이다. 또한, 필름 중의 대부분의 수소는 탄소에 결합되어 있는 것이 바람직하다.
따라서, 본 발명의 바람직한 실시양태는 (a) 약 10 내지 약 35 원자%, 더욱 바람직하게는 약 20 내지 약 30 원자%의 규소; (b) 약 10 내지 약 65 원자%, 더욱 바람직하게는 약 20 내지 약 45 원자%의 산소; (c) 약 10 내지 약 50 원자%, 더욱 바람직하게는 약 15 내지 약 40 원자%의 수소; (d) 약 5 내지 약 30 원자%, 더욱 바람직하게는 약 5 내지 약 20 원자%의 탄소를 포함한다. 또한, 필름은 약 0.1 내지 약 15 원자%, 더욱 바람직하게는 약 0.5 내지 약 7.0 원자%의 불소를 포함하여 하나 이상의 물성을 향상시킬 수 있다. 더 적은 부분의 기타 원소들도 본 발명의 특정 필름에 존재할 수 있다. OSG 물질은 이들의 유전 상수가 실리카 유리 산업에서 통상 사용되는 표준 물질의 것보다 낮기 때문에 k가 낮은 물질로 간주된다. 본 발명의 물질은 공극 형성 화학종 또는 포로겐을 침착 과정에 첨가하고, 포로겐을 증착된 상태 그대로의(즉, 예비) OSG 필름에 혼입시킨 후, 예비 필름으로부터 거의 모든 포로겐을 제거하는 반면 예비 필름의 말단 Si-CH3기를 실질적으로 보유하도록 하여 생성물 필름을 제공함으로써 제공될 수 있다. 상기 생성물 필름은 다공성 OSG이고, 포로겐 없이 증착된 유사한 필름 뿐만 아니라 예비 필름보다 감소된 유전 상수를 갖는다. OSG 내의 유기 기에 의하여 제공되는 소수성이 없는 다공성 무기 SiO2에 반대되는 다공성 OSG로서의 본 발명의 필름을 구별하는 것은 중요하다.
PE-CVD TEOS에 의하여 생산된 실리카는 양전자 전멸 수명 분광법(positron annihilation lifetime spectroscopy; PALS) 분석에 의하여 측정시 구 상당 직경이 약 0.6 nm인 본래 없는 용적 공극 크기를 갖는다. 본 발명 필름의 공극 크기는 소형 각 중성자 분산(small angle neutron scattering; SANS) 또는 PALS 분석법에 의하여 측정할 때 바람직하게는 구 상당 직경이 5 nm 미만, 보다 바람직하게는 구 상당 직경이 2.5 nm 미만이다.
상기 필름의 총 공극률은 공정 조건 및 소정의 최종 필름 특성에 따라 5 내지 75%일 수 있다. 본 발명의 필름의 밀도는 2.0 g/cm3 미만, 또는 대안적으로 1.5 g/cm3 미만 또는 1.25 g/cm3 미만인 것이 바람직하다. 바람직하게는, 본 발명의 필름은 포로겐 없이 생산된 유사 OSG 필름보다 밀도가 10% 이상, 더욱 바람직하게는 20% 이상 작다.
상기 필름의 다공성은 필름 전체에 균일할 필요가 없다. 특정한 실시양태에 있어서, 다공성 구배 및/또는 다공성이 다양한 층이 있다. 이들 필름은 예컨대 포로겐의 비율을 조절함으로써 침착 도중 전구체에 제공될 수 있다.
본 발명의 필름은 통상의 OSG 물질에 비하여 유전 상수가 낮다. 바람직하게는, 본 발명의 필름의 유전 상수는 포로겐 없이 생산된 유사 OSG 필름의 것보다 0.3 이상, 더욱 바람직하게는 0.5 이상 작다. 바람직하게는, 본 발명 다공성 필름의 푸리에 변형 적외선(Fourier transform infrared: FTIR) 스펙트럼은 임의의 포로겐이 없는 것을 제외하고는 상기 방법과 거의 동일한 과정에 의하여 제조한 참조 필름의 참조 FTIR과 거의 동일하다.
본 발명의 필름은 통상의 OSG 물질에 비하여 우수한 기계적 특성을 갖는다. 바람직하게는, 본 발명 필름의 기본 OSG 구조(예컨대, 임의의 첨가된 포로겐을 갖지 않는 필름)는 나노압입에 의하여 측정시 동일한 유전 상수를 갖는 유사한 OSG 필름보다 적어도 10% 이상 더 큰, 더욱 바람직하게는 25% 더 큰 모듈러스 또는 경도를 갖는다.
본 발명의 필름은 k가 낮은 필름을 침착시키기 위하여 산화제를 사용할 필요가 없다. 본 발명의 목적을 위하여 유기 기(예컨대, O2, N2O, 오존, 과산화수소, NO, NO2, N2O4 또는 이들의 혼합물)를 산화시킬 수 있는 부분으로서 정의된 기체 상에 첨가된 산화제가 없다는 것은 상기 필름 내의 전구체의 메틸기의 보유를 촉진한다. 이것은 감소된 유전 상수 및 소수성과 같은 소정의 특성을 제공하기 위하여 필요한 최소량의 탄소의 혼입을 허용한다. 또한, 이것은 실리카 네트워크의 최대 보유도를 제공하여 통상의 에칭 방지 물질(예컨대, 규소 카바이드, 수소화 규소 카바이드, 질화규소, 수소화 질화규소 등)에 대하여 우수한 기계적 특성, 부착성 및 에칭 선택성을 갖는 필름을 제공하는 경항이 있는데, 이것은 상기 필름이 통상의 유전 절연체인 실리카와 더욱 유사한 특성을 갖기 때문이다.
본 발명의 필름은 또한 유기 불소 형태(예컨대, Si-F)의 불소도 임의로 함유할 수 있다. 존재하는 경우, 불소는 0.5 내지 7 원자%의 범위의 양으로 함유되는 것이 바람직하다.
본 발명의 필름은 열적으로 안정하고, 우수한 화학적 저항성을 갖는다. 특히, 어닐링 후 바람직한 필름은 N2 하의 425℃의 등온에서 1.0 wt%/시 미만의 평균 중량 손실을 갖는다. 더욱이, 상기 필름은 공기 하의 425℃의 등온에서 1.0 wt%/시 미만의 평균 중량 손실을 갖는 것이 바람직하다.
상기 필름은 다양한 용도에 적합하다. 본 발명은 특히 반도체 기판 상에 침착하기에 적합하고, 예컨대 절연층, 층간 유전층 및/또는 금속간 유전층으로서 사용하기에 특히 적합하다. 본 필름은 등각의(conformal) 코팅을 형성할 수 있다. 이들 필름에 의하여 나타난 기계적 특성은 이들을 Al 제외 기법(Al subtractive technology) 및 Cu 물결 무늬 또는 이중 물결 무늬 기법(dual damascene technology)에서 사용하기에 특히 적합하게 한다.
상기 필름은 화학 기계적 연마(CMP) 및 이방성 에칭과 상용성이 있고, 다양한 물질, 예컨대 규소, SiO2, Si3N4, OSG, FSG, 규소 카바이드, 수소화 규소 카바이드, 질화규소, 수소화 질화규소, 규소 카보니트라이드, 수소화 규소 카보니트라이드, 보로니트라이드, 항반사성 코팅, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질, 구리 및 알루미늄과 같은 금속 및 확산 방해층(예컨대, TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN 또는 W(C)N가 있으며, 이에 한정되는 것은 아님)에 부착될 수 있다. 본 필름은 ASTM D3359-95a 테이프 당김 테스트와 같은 통상의 당김 테스트(pull test)를 충분히 통과하도록 1종 이상의 전술한 물질에 부착할 수 있는 것이 바람직하다. 필름의 인식 가능한 제거가 없다면, 샘플은 상기 시험을 통과한 것으로 고려된다.
따라서, 특정 실시양태에 있어서, 상기 필름은 집적 회로 내의 절연층, 층간 유전층, 금속간 유전층, 캡핑층, 화학 기계적 연마 또는 에칭 방지층, 방해층 또는 부착층이다.
본 발명이 특히 필름을 제공하기에 적합하고 본 발명의 생성물이 필름으로서 본 원에 상세히 기재되어 있음에도 불구하고, 본 발명은 이들에 한정되지 않는다. 본 발명의 생성물은 코팅, 다층 조립체 및 평면이거나 얇을 필요 없는 대상의 기타 종류와 같은, CVD에 의하여 침착될 수 있는 임의의 형태로 제공될 수 있고, 다수의 대상들은 집적 회로에 사용될 필요가 없다. 바람직하게는, 상기 기재는 반도체이다.
본 발명의 OSG 생성물 이외에, 본 발명은 상기 생성물의 제조 방법, 상기 생성물 및 화합물을 사용하는 방법 및 상기 생성물을 제조하는데 유용한 조성물을 포함한다.
침착된 필름 내의 포로겐은 반응 챔버에 도입된 포로겐 전구체와 동일한 형태이거나 동일한 형태가 아닐 수 있다. 포로겐 제거 과정은 필름으로부터 포로겐 또는 이의 단편을 유리시킬 수 있다. 본질적으로, 포로겐 시약, 예비 필름 내의 포로겐 및 제거될 포로겐은 모두 포로겐 시약에서 유래하는 것이 바람직함에도 불구하고, 동일한 화학종이거나 그렇지 않을 수 있다. 포로겐이 본 발명 과정 전반에 걸쳐 변경되는지 여부를 불문하고, 본 원에서 사용되는 용어 "포로겐"은 공극 형성 시약 또는 이의 유도체를 포괄하며, 이들은 어떠한 형태로든 본 발명의 전체 과정 전반에서 발견되는 것으로 의도된다.
용어 "기체 시약"은 본 원에서 시약을 설명하는데 사용되지만, 이 용어는 반응기에 가스로 직접 운반된 시약, 증발된 액체로서 운반된 시약, 승화된 고체 및/또는 불활성 담체 기체에 의하여 반응기에 운반된 시약을 포괄하는 것으로 의도된다.
또한, 시약은 별개의 공급원으로부터 별도로 또는 혼합물로서 반응기에 운반될 수 있다. 임의의 개수의 수단에 의하여, 바람직하게는 본 과정의 반응기에 액체를 운반하도록 하기 위한 적당한 밸브 및 기구(fiitings)를 구비한 가압가능한 스테인레스 스틸 용기를 사용하여 시약을 반응기 시스템에 운반할 수 있다.
특정 실시양태에 있어서, 상이한 유기실란 및/또는 유기실록산의 혼합물을 배합하여 사용한다. 다중 상이한 포로겐과 유기실란의 배합물을 사용하는 것도 본 발명의 범위 내이다. 상기 실시양태는 최종 생성물에서 Si에 대한 공극의 비율을 조절하는 것을 촉진하고/촉진하거나 기본 OSG 구조의 하나 이상의 중요한 특성을 향상시킨다. 예를 들면, 디에톡시메틸실란(DEMS) 및 포로겐을 이용하는 침착은 필름의 기계적 강도를 향상시키기 위하여 테트라에톡시실란(TEOS)과 같은 추가의 유기규소를 사용할 수 있다.
구조 형성 화학종 및 공극 형성 화학종 이외에, 추가의 물질을 침착 반응 전, 도중 및/또는 후에 진공 챔버에 충전할 수 있다. 이들 물질로서는 예컨대 불활성 기체(예컨대, 휘발성이 더 적은 전구체를 위한 담체 기체로서 이용될 수 있고/있거나 증착된 상태 그대로의 물질의 경화를 촉진하여 더 안정한 최종 필름을 제공할 수 있는 He, Ar, N2, Kr, Xe 등), 기체 또는 액체의 유기 물질, NH3, H2, CO2 또는 CO와 같은 반응성 물질이 있다. CO2가 바람직한 담체 기체가다. 산화성 기체, 예컨대 O2, N2O, NO, NO2 및 O3를 또한 첨가할 수 있다.
기체가 반응하여 기재상에 필름을 형성하도록 기체 시약에 에너지를 가한다. 상기 에너지는 예컨대 열, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마 및 원격 플라즈마 방법에 의하여 제공될 수 있다. 제2 rf 주파수 공급원은 기재 표면에서 플라즈마 특성을 변화시키기 위하여 사용될 수 있다. 바람직하게는, 상기 필름은 플라즈마 증대된 화학증착법에 의하여 형성된다. 13.56 MHz의 주파수에서 용량성 결합 플라즈마를 제조하는 것이 특히 바람직하다. 플라즈마 전력은 기재의 표면적을 기준으로 바람직하게는 0.02 내지 7 watts/cm2, 더욱 바람직하게는 0.3 내지 3 watts/cm2이다. 낮은 이온화 에너지를 갖는 담체 기체를 이용하여 플라즈마 내 전자 온도를 낮추어 OSG 전구체 및 포로겐에서 분열을 더 적게 일으키게 하는 것이 이로울 수 있다. 이러한 유형의 낮은 이온화 가스의 예로는 CO2, NH3, CO, CH4, Ar, Xe 및 Kr이 있다.
기체 시약의 각각의 유속은 단일 200 mm 웨이퍼당 10 내지 5000 sccm, 더욱 바람직하게는 30 내지 1000 sccm의 범위이다. 개개의 속도는 필름 중의 소정량의 구조 형성자 및 공극 형성자를 제공하도록 선택된다. 필요한 실제 유속은 웨이퍼 크기 및 챔버 배치에 따라 다를 수 있고, 200 mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한할 필요는 없다.
상기 필름은 50 nm/분 이상의 침착 속도로 침착하는 것이 바람직하다.
침착 도중 진공 챔버의 압력은 바람직하게는 0.01 내지 600 torr, 더욱 바람직하게는 1 내지 15 torr이다.
필름의 두께는 필요에 따라 다양할 수 있으나, 0.002 내지 10 마이크론의 두께로 침착되는 것이 바람직하다. 비패턴화된 표면 상에 침착된 블랭킷(blanket) 필름은 적절한 모서리를 제외한(예컨대, 상기 기재의 5 mm의 최외각 모서리는 균일성의 통계적 계산에 포함되지 않음) 기재를 가로질러 표준 편차 1 이상에서 2% 미만의 두께 변화를 갖는 우수한 균일성을 갖는다.
벌크 밀도의 감소와 함께 상기 필름의 다공성이 증가하여 상기 물질의 유전 상수를 추가로 감소시킬 수 있고, 향후 생산에의 이들 물질의 적용을 확장한다(예컨대, k<2.0).
어닐링된 다공성 OSG 및 포로겐 첨가 없는 유사한 OSG 사이에서 원자 조성물 중에 통계적으로 유의성 있는 차이가 없는 경우 거의 모든 포로겐의 제거가 가정된다. 조성물에 대한 분석 방법[예컨대, X선 광전자 분광법(XPS), 러더포드 후방산란/수소 전방 산란(RBS/HFS)]의 본래의 측정 오차 및 방법의 가변성은 모두 데이터의 범위에 기여한다. XPS에서 본래의 측정 오차는 약 +/- 2 원자%인 반면, RBS/HFS에서 본래의 측정 오차는 화학종에 따라 +/- 2 내지 5 원자% 범위로 더 큰 것으로 예상된다. 방법 가변성은 데이터의 최종 범위에 추가 +/- 2 원자% 기여하게 된다.
본 발명에 따른 별개의 포로겐과 함께 사용하기에 적절한 Si계 전구체의 비한정적인 예들은 후술한다. 하기 화학식에 있어서, 그리고 본 명세서 전반의 모든 화학식에 있어서, 용어 "독립적으로"는 R 기가 상이한 위첨자를 보유하는 다른 R기에 대하여 독립적으로 선택된다는 것 뿐만 아니라 동일한 R기의 임의의 추가 화학종에 대하여도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들면, 화학식 R1 n(OR2)4- nSi(여기서, n은 2 또는 3임)에 있어서, 2개 또는 3개의 R1은 서로 또는 R2와 동일할 필요가 없다.
- R1 n(OR2)3- nSi(여기서, R1은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3임).
예로는 디에톡시메틸실란, 디메틸디메톡시실란이 있다.
- R1 n(OR2)3- nSi-O-SiR3 m(OR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R4는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3임).
예로는 1,3-디메틸-1,3-디에톡시디실록산이 있다.
- R1 n(OR2)3- nSi-Si-SiR3 m(OR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R4는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3임).
예로는 1,2-디메틸-1,1,2,2-테트라에톡시디실란이 있다.
- R1 n(O(O)CR2)4- nSi(여기서, R1은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3임).
예로는 디메틸디아세톡시실란이 있다.
- R1 n(O(O)CR2)3- nSi-O-SiR3 m(O(O)CR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R4는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이고, m은 1 내지 3임).
예로는 1,3-디메틸-1,3-디아세톡시디실록산이 있다.
- R1 n(O(O)CR2)3- nSi-SiR3 m(O(O)CR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R4는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3임).
예로는 1,2-디메틸-1,1,2,2-테트라아세톡시디실란이 있다.
- R1 n(O(O)CR2)3- nSi-SiR3 m(OR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3임).
예로는 1,3-디메틸-1-아세톡시-3-에톡시디실록산이 있다.
- R1 n(O(O)CR2)3- nSi-SiR3 m(OR4)3-m(여기서, R1 및 R3는 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3임).
예로는 1,2-디메틸-1-아세톡시-2-에톡시디실란이 있다.
- R1 n(OR2)p(O(O)CR4)4-(n+p)Si(여기서, R1은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, p은 1 내지 3임).
예로는 메틸아세톡시-t-부톡시실란이 있다.
- R1 n(OR2)p(O(O)CR4)3-n- pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3임).
예로는 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산이 있다.
- R1 n(OR2)p(O(O)CR4)3-n- pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3임).
예로는 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란이 있다.
- 화학식 (OSiR1R3)x의 환형 실록산(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, x는 2 내지 8의 임의의 정수일 수 있음).
예로는 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산이 있다.
단, 상기 모든 전구체 기들에 대한 조건은 다음과 같다: (1) 포로겐을 반응 혼합물에 첨가하고, (2) 경화(예컨대, 어닐링) 단계를 사용하여 침착된 필름으로부터 포함된 포로겐을 거의 모두 제거하여 k<2.6이 되도록 한다.
상기 전구체들은 포로겐과 혼합되거나 부착된 포로겐을 가질 수 있고, 이들 부류의 다른 분자 및/또는 n 및/또는 m이 0 내지 3인 것을 제외하고는 동일한 부류의 분자와 혼합될 수 있다.
예컨대, TEOS, 트리에톡시실란, 디-t-부톡시실란, 실란, 디실란, 디-t-부톡시디아세톡시실란 등이 있다.
본 발명에 따른 별개의 포로겐과 함께 사용되기에 적합한 특정 Si계 전구체를 나타내는 추가의 화학식은 다음과 같다.
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4 -t(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 2 내지 4이며, 단 n+p ≤4임);
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3 -t(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 1 내지 3이며, 단 n+p ≤4임);
(g) 화학식 (OSiR1R3)x의 환형 실록산(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음);
(h) 화학식 (NR1SiR1R3)x의 환형 실라잔(여기서, R1및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음);
(i) 화학식 (CR1R3SiR1R3)x의 환형 카르보실란(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음).
명세서 전반에서 전구체 또는 포로겐화 전구체로서 실록산 및 디실록산이 참고가 되지만, 본 발명은 이에 한정되지 않으며 트리실록산 및 더 긴 다른 직쇄 실록산과 같은 기타의 실록산도 본 발명의 범위에 포함되는 것으로 이해되어야 한다.
상기 전구체들은 이들 동일한 부류의 다른 분자 및/또는 n 및 m이 0 내지 3인 것을 제외하고는 동일한 부류의 분자와 혼합될 수 있다.
본 발명의 포로겐으로서 사용하기에 적합한 물질의 비한정적인 예는 다음과 같다.
(1) 화학식 CnH2n(여기서, n=4~14)의 환형 탄화수소. 여기서 환형 구조 중의 탄소수는 4 내지 10개이고, 환형 구조 상에 치환된 다수의 단순 또는 분지쇄의 탄화수소가 있을 수 있다.
예로는 시클로헥산, 트리메틸시클로헥산, 1-메틸-4(1-메틸에틸)시클로헥산, 시클로옥탄, 메틸시클로옥탄 등이 있다.
(2) 화학식 CnH(2n+2)-2y의 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화 탄화수소(여기서, n = 2∼20 이고, y = 0∼n 임).
예로는 에틸렌, 프로필렌, 아세틸렌, 네오헥산 등이 있다.
(3) 화학식 CnH2n -2x의 단일 불포화 또는 다중 불포화 환형 탄화수소(여기서, x 는 분자 중의 불포화 부위의 개수이고, n = 4∼14 이며, 환형 구조 중의 탄소수는 4 내지 10 이고, 환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음). 상기 불포화는 고리 내부에 위치하거나, 환형 구조 상에 치환된 탄화수소 치환기 중 하나에 위치할 수 있다.
예로는 시클로헥센, 비닐시클로헥산, 디메틸시클로헥센, t-부틸시클로헥센, 알파-테르피넨, 피넨, 1,5-디메틸-1,5-시클로옥타디엔, 비닐-시클로헥센 등이 있다.
(4) 화학식 CnH2n -2의 이중환 탄화수소(여기서, n = 4∼14 이고, 이중환 구조 중의 탄소수는 4 내지 12 이며, 환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음).
예로는 노르보르난, 스피로-노난, 데카히드로나프탈렌 등이 있다.
(5) 화학식 CnH2n -(2+2x)의 다중 불포화 이중환 탄화수소(여기서, x는 분자 중의 불포화 부위의 개수이고, n = 4∼14 이며, 이중환 구조 중의 탄소수는 4 내지 12 이고, 환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음). 상기 불포화는 고리 내부에 위치하거나, 환형 구조 상에 치환된 탄화수소 치환기 중 하나에 위치할 수 있다.
예로는 캄펜, 노르보르넨, 노르보르나디엔 등이 있다.
(6) 화학식 CnH2n -4의 삼중환 탄화수소(여기서, n = 4∼14 이고, 삼중환 구조 중의 탄소수는 4 내지 12 이며, 환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음).
예로는 아다만탄 등이 있다.
본 발명에 따른 특히 바람직한 포로겐은 C4 내지 C14 환형 탄화수소 화합물을 포함한다. 더욱 바람직하게는 상기 C4 내지 C14 환형 탄화수소 화합물은 비분지쇄형 구조를 가진다. 더욱 바람직하게는 C4 내지 C14 환형 탄화수소 화합물은 비분지쇄형이고 불포화도가 2 이하이다. 상기 불포화도는 nC - nH/2 + 1로서 정의되며, 여기서 nC 및 nH는 각각 분자내 탄소 및 수소 원자의 수이다. 본 원에서 사용되는 바와 같이, 용어 '비분지쇄형'이란 펜단트 말단기가 없고 다중환의 화합물을 배제하지 않는 구조를 의미한다.
본 발명에 따른 특히 바람직한 포로겐 중, 더욱 바람직하게는 포로겐은 (1) 비분지쇄형인 C7 내지 C10 환형 탄화수소 화합물, 예컨대 시클로옥타디엔, 노르보르나디엔 및 이의 혼합물; 및 (2) 비분지쇄형이고 불포화도가 2 이하인 C7 내지 C10 환형 탄화수소 화합물, 예컨대 시클로옥탄, 시클로헵탄, 시클로옥텐, 시클로헵텐 및 이의 혼합물을 포함한다. 출원인은 놀랍게도 본 발명의 특히 바람직한 포로겐을 적용하여 2 이상의 이점이 발생한다는 것을 발견하였다.
제1 이점은 불포화도가 낮은 환형 수소를 포로겐 전구체로서 적용하는 경우 유전체 필름의 기계적 특성이 최적이 된다는 점이다. 본 발명의 특히 바람직한 포로겐은 다공성 필름에 강한 유기실리케이트 네트워크가 형성되게 할 수 있다. 이와 관련하여, 예를 들어 비분지쇄형이고 불포화도가 2 이하인 C7 내지 C10 환형 탄화수소 화합물을 포로겐 전구체로서 적용하는 경우 다공성 필름 내에 보다 낮은 규소-메틸의 혼입율을 제공할 수 있다. 상기 Si-CH3/Si-O 화학종의 비율은 상기 필름의 네트워크 연결도의 측정 수단이며, 필름의 모듈러스와 직접적인 관련이 있는 것으로 확인되었다. 특정 이론에 얽매이는 것을 의도함 없이, 포화도가 더 큰 환형 탄화수소 포로겐 전구체는 플라즈마 내의 이온화 에너지가 더욱 높으며, OSG 전구체에 더욱 근접하여 매칭된다. 이로서 유기실란 전구체가 더욱 분열될 수 있으며, 이는 궁극적으로 OSG 네트워크로의 보다 낮은 메틸의 혼입율을 유도하는 것으로 생각된다.
본 발명에 따른 특히 바람직한 환형 탄화수소 화합물을 포로겐 전구체로서 적용하는 또다른 이점은 복합 필름에 침착되는 유기 포로겐 물질의 특성이다. 특정 이론에 얽매임을 바라지 않고, 시클로옥탄과 같은 환형, 바람직하게는 비분지쇄형의 포로겐 전구체로부터 침착되는 유사폴리에틸렌 유기 물질은 필름으로부터 보다 용이하게 제거되며, 경화 챔버 내에 흡수성 잔류물이 보다 덜 축적되는 것으로 믿어진다. 이는 챔버를 소제하는 데 필요한 시간을 감소시키고, 전체적인 처리량을 증가시킬 수 있다.
예를 들어, 본 발명에 따른 특히 바람직한 포로겐은 투명창을 통한 UV 노출에 의해 가장 일반적으로 OSG 복합물로부터 제거된다. 불안정한 포로겐 물질이 UV 노출에 의해 제거될 시에, 이의 일부가 투명창 상에 침착하고 필요한 UV 파장을 차단한다. 따라서, 경화 공정의 효율 및 UV 챔버 소제의 처리량은 상기 창 상에 침착하는 흡수성 화학종의 양 및 유형에 따라 다르다. 특히 바람직한 포로겐의 제거는 전형적으로, 예를 들어 리모넨보다 UV 신호를 덜 차단하여, 전형적으로 챔버 소제에 필요한 시간을 감소시킨다. 특정 이론에 얽매임을 바라지 않고, 환형, 바람직하게는 비분지쇄형 탄화수소 화합물을 포로겐으로 적용하는 것은 플라즈마 중합 중 중합체 사슬을 전파하는 화학종 및 중합체 사슬을 덜 종결시키는 화학종의 농도를 높여, 복합 필름에 효과적으로 혼입하는 더욱 폴리에틸렌 유사한 유기 물질을 형성하게 한다. 대조적으로, 알파-테르피넨과 같은 분지쇄형 포로겐은 분해하여 플라즈마 중합 중에 메틸 및 프로필 기를 종결시켜, 상기 증착된 상태 그대로의 필름으로 덜 효율적으로 혼입되고, 상기 필름으로부터 덜 효율적으로 제거되며 상기 침착 및 경화 챔버로부터 덜 효율적으로 소제되는 덜 바람직한 유기 물질을 복합 필름 중에 생성하게 할 수 있다. 이러한 이점들은 하기 실시예 부분에서 예시하게 된다.
본 발명은 본 발명의 청구된 방법에 따라 적용되는 조성물을 제공한다. 본 발명에 따른 조성물은 바람직하게는 하기를 포함한다:
(A)(1) 하기로 구성된 군으로부터 선택된 1 이상의 전구체:
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2 및 R6는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n- pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3임);
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4 -t(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 2 내지 4이며, 단 n+p ≤4임);
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3 -t(여기서, R1은 독립적으로 H 또는 C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 1 내지 3이며, 단 n+p ≤4임);
(g) 화학식 (OSiR1R3)x의 환형 실록산(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음);
(h) 화학식 (NR1SiR1R3)x의 환형 실라잔(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음); 및
(i) 화학식 (CR1R3SiR1R3)x의 환형 카르보실란(여기서, R1 및 R3은 독립적으로 H, C1 내지 C4 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화, 환형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음), 및
(A)(2) 상기 1 이상의 전구체와는 별개인 하기 중 1 이상인 포로겐:
(a) 화학식 CnH2n 및 환형 구조의 1 이상의 환형 탄화수소 화합물(여기서, n은 4∼14이고, 환형 구조 중의 탄소수는 4∼10개이며, 1 이상의 환형 탄화수소는 환형 구조 상으로 치환된 다수의 단순 또는 분지쇄의 탄화수소를 임의로 함유할 수 있음);
(b) 화학식 CnH(2n+2)-2y의 1 이상의 직쇄 또는 분지쇄, 포화, 단일 불포화 또는 다중 불포화 탄화수소(여기서, n = 2∼20 이고, y = 0∼n임);
(c) 화학식 CnH2n -2x 및 환형 구조의 1 이상의 단일 불포화 또는 다중 불포화 환형 탄화수소(여기서, x는 불포화 부위의 개수이고, n은 4∼14이며, 환형 구조 중의 탄소수는 4∼10개이고, 1 이상의 단일 불포화 또는 다중 불포화 환형 탄화수소는 임의로 환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소를 포함하며, 탄화수소 치환기 중 1 상에 고리 내 불포화 또는 불포화를 함유할 수 있음);.
(d) 화학식 CnH2n -2 및 이중환 구조의 1 이상의 이중환 탄화수소(여기서, n은 4∼14이고, 이중환 구조 중의 탄소수는 4∼12이며, 1 이상의 이중환 탄화수소는 상기 이중환 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소 를 함유함);
(e) 화학식 CnH2n -(2+2x) 및 이중환 구조의 1 이상의 다중 불포화 이중환 탄화수소(여기서, x는 불포화 부위의 개수이고, n은 4∼14이며, 이중환 구조 중의 탄소수는 4 내지 12 이고, 1 이상의 다중 불포화 이중환 탄화수소는 임의로 상기 이중환 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소 치환기를 함유하고, 상기 탄화수소 치환기 중 1 상에 고리 내 불포화 또는 불포화를 함유함); 및/또는
(f) 화학식 CnH2n -4 및 삼중환 탄화수소(여기서, n = 4∼14이고, 삼중환 구조 중의 탄소수는 4∼12이며, 1 이상의 삼중환 탄화수소는 상기 환형 구조 상에 치환된 다수의 다순 또는 분지쇄 탄화수소를 함유함).
전구체를 포함하는 조성물의 특정 실시양태에서, 상기 조성물은 바람직하게는
(a)(i) 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 1,3,5,7-테트라메틸시클로테르라실록산, 옥타메틸-시클로테트라실록산 및 테트라에톡시실란으로 구성된 군으로부터 선택된 1 이상의 전구체, 및 (ⅱ) 상기 1 이상의 전구체와는 별개인, 알파-테르피넨, 리모넨, 시클로헥산, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 캄펜, 아다만탄, 1,3-부타디엔, 치환된 디엔 및 데카히드로나프탈렌으로부터 선택된 일원인 포로겐; 및/또는
(b)(i) 트리메틸실란, 테트라메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 디터셔리부톡시메틸실란, 메틸트리에톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)메탄, 테트라에톡시실란 및 트리에톡시실란으로 구성된 군으로부터 선택되는 1 이상의 전구체, 및 (ⅱ) 알파-테르피넨, 감마-테르피넨, 리모넨, 디메틸헥사디엔, 에틸벤젠, 데카히드로나프탈렌, 2-카렌, 3-카렌, 비닐시클로헥센 및 디메틸시클로옥타디엔
을 포함한다.
특정 실시양태에서, 상기 조성물은 바람직하게는
(a)(i) 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 및 테트라에톡시실란, 트리메틸실란, 테트라메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 디터셔리부톡시메틸실란, 메틸트리에톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)메탄, 테트라에톡시실란, 트리에톡시실란, 1,1,33-테트라메틸-1,3-디실라시클로부탄; 1,1,3,3-테트라에톡시-1,3-디실라시클로부탄, 1,3-디메틸-1,3-디에톡시-1,3-디실라시클로부탄, 1,3-디아세톡시-1,3-메틸-1,3-디실라시클로부탄, 1,1,3,3-테트라아세톡시-1,3-디실라시클로부탄, 1,3-디실라부탄; 1,1,1,3,3,3-헥사메톡시-1,3-디실라프로판, 1,1,1,3,3,3-헥사에톡시-1,3-디실라프로판, 1,3-디실라프로판; 1,1,1-테트라메톡시-1,3-디실라프로판, 1,1,1,3,3,3-헥사아세톡시-1,3-디실라프로판, 1,1,1-테트라에톡시-1,3-디실라프로판; 1,3-디실라시클로부탄, 1,3-디에톡시-1,3-디실라부탄; 1,3-디에톡시-1-메틸-1,3-디실라부탄, 1,1,3,3-테트라에톡시-1-메틸-1,3-디실라부탄, 1,1,3,3-테트라메톡시-1-메틸-1,3-디실라부탄, 1,1,3,3-테트라아세톡시-1-메틸-1,3-딜라부탄 및 이의 혼합물로 구성된 군으로부터 선택되는 1 이상의 전구체; 및 (ⅱ) 상기 1 이상의 전구체와는 별개인, 시클로옥텐, 시클로헵텐, 시클로옥탄, 시클로옥타디엔, 시클로헵탄, 시클로헵타디엔, 시클로헵타트리엔 및 이의 혼합물로 구성된 군으로부터 선택되는 일원인 포로겐
을 포함하는 조성물을 포함한다.
본 발명의 조성물은, 예컨대, 포로겐, 비-포로겐화 전구체 및/또는 포로겐화 전구체를 반응 용기에 전달할 수 있는 피팅 및 적당한 밸브를 구비하고 있는 1종 이상의 가압가능 용기(바람직하게는 스테인레스 스틸)를 추가로 포함할 수 있다. 상기 용기(들)의 함유물은 미리 혼합시킬 수 있다. 대안적으로, 포로겐 및 전구체는 개별 용기, 또는 저장 중에 포로겐 및 전구체를 분리 유지하기 위한 분리 수단을 갖는 단일 용기 내에 유지시킬 수 있다. 그러한 용기들은 또한 경우에 따라 포로겐 및 전구체를 혼합하기 위한 수단을 포함할 수 있다.
열적 어닐링, 자외선 노출, 화학적 처리, 계 내 또는 원격 플라스마 처리, 광경화 및/또는 마이크로파 처리를 포함할 수 있는 경화 단계에 의하여, 상기 포로겐을 예비 필름(또는 증착된 상태 그대로의 필름)에서 제거한다. 기타 계내 또는 후-침착 처리를 이용하여, 경도, 안정성(수축에 대한 안정성, 공기 노출에 대한 안정성, 에칭에 대한 안정성, 습식 에칭에 대한 안정성 등), 통합성(integrity), 균일성 및 부착성 등의 물성을 향상시킬 수 있다. 포로겐을 제거하기 전, 중 및/또는 후에, 포로겐 제거에 사용하는 수단과 동일하거나 상이한 수단을 사용하여, 상기 필름에 상기 처리를 할 수 있다. 따라서, 본 원에서 사용된 바와 같은 용어 "후처리"는 상기 필름을 에너지(예, 열, 플라스마, 광자, 전자, 마이크로파 등) 또는 화학물질로 처리하여 포로겐을 제거하고, 임의로 물성을 향상시키는 것을 의미한다.
후처리를 수행하는 조건은 매우 다양할 수 있다. 예를 들어, 고압 또는 진공 조건 하에서 후처리를 수행할 수 있다.
어닐링은 하기 조건 하에서 수행한다.
환경은 불활성[예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 직쇄 또는 분지쇄, 방향족) 등]일 수 있다. 상기 압력은 약 1 torr 내지 약 1000 torr인 것이 바람직하고, 대기압인 것이 더욱 바람직하다. 그러나, 열적 어닐링 및 기타 임의의 후처리 수단에 있어서, 진공 조건도 이용가능하다. 온도는 200 내지 500℃가 바람직하고, 온도 구배 비율(temperature ramp rate)은 0.1 내지 100 deg℃/min 인 것이 바람직하다. 총 어닐링 시간은 0.01 분 내지 12 시간이 바람직하다.
OSG 필름의 화학적 처리는 하기 조건 하에서 수행한다.
플루오르화(HF, SIF4, NF3, F2, COF2, CO2F2 등) 처리, 산화(H2O2, O3 등) 처리, 화학적 건조, 메틸화, 또는 기타 화학적 처리를 이용하여 최종 물질의 물성을 향상시킨다. 그러한 처리에 사용하는 화학물질은 고체, 액체, 기체 및/또는 초임계 유체 상태일 수 있다.
유기 실리케이트 필름에서 포로겐을 선택적으로 제거하기 위한 초임계 유체 후처리는 하기 조건 하에서 수행한다.
상기 유체는 이산화탄소, 물, 산화질소, 에틸렌, SF6 및/또는 기타 유형의 화학물질일 수 있다. 기타 화학물질을 상기 초임계 유체에 첨가하여 공정을 향상시킬 수 있다. 상기 화학물질은 불활성[예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500℃인 것이 바람직하다. 상기 화학물질은 계면활성제와 같은 커다란 화학종을 포함할 수도 있다. 총 노출 시간은 0.01 분 내지 12 시간인 것이 바람직하다.
상기 OSG 필름의 가능한 화학적 개질 및 불안정한 기의 선택적 제거를 위한 플라스마 처리는 하기 조건 하에서 수행한다.
환경은 불활성[질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 직쇄 또는 분지쇄, 방향족) 등]일 수 있다. 상기 플라스마의 전력은 0 내지 5000 W 인 것이 바람직하다. 온도는 상온 내지 500℃인 것이 바람직하다. 압력은 10 mtorr 내지 상압인 것이 바람직하다. 총 경화 시간은 0.01 분 내지 12 시간인 것이 바람직하다.
유기 실리케이트 필름에서 포로겐을 선택적으로 제거하기 위한 광경화는 하기 조건 하에서 수행한다.
환경은 불활성[예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500℃인 것이 바람직하다. 전력은 0 내지 5000 W 인 것이 바람직하다. 파장은 IR, 가시 광선, UV 또는 원자외선(파장 < 200 nm)이 바람직하다. 총 경화 시간은 0.01 분 내지 12 시간이 바람직하다.
유기 실리케이트 필름에서 포로겐을 선택적으로 제거하기 위한 마이크로파 후처리는 하기 조건 하에서 수행한다.
환경은 불활성[예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500℃인 것이 바람직하다. 전력 및 파장은 다양하며, 특정 결합에 대하여 조절할 수 있다. 총 경화 시간은 0.01 분 내지 12 시간이 바람직하다.
유기 실리케이트 필름에서 포로겐 또는 특정 화학종을 선택적으로 제거하고/하거나, 필름의 성질을 개선시키기 위한 전자 빔 후처리는 하기 조건 하에서 수행한다.
환경은 진공, 불활성[예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등], 산화성[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원성[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500℃인 것이 바람직하다. 전자 밀도 및 에너지는 다양할 수 있고, 특정 결합에 대하여 조절할 수 있다. 총 경화 시간은 0.001 분 내지 12 시간인 것이 바람직하고, 연속 또는 펄스 처리할 수 있다. 전자 빔의 일반적 사용에 관한 추가의 안내는 공지 문헌들[예, S. Chattopadhyay et al., Journal of Materials Science, 36(2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국 특허 제6,207,555호(B1), 미국 특허 제6,204,201호(B1) 및 미국 특허 제6,132,814호(A1)]에 공개되어 있다. 상기 전자 빔 처리는 매트릭스 내의 결합-형성 공정을 통하여 필름의 기계적 성질을 향상시키고, 포로겐을 제거하기 위해서 이용할 수 있다.
본 발명은 하기 실시예를 참고로 더욱 상세히 설명할 것이나, 본 발명이 그러한 실시예로 제한되는 것이 아니라는 점이 이해되어야 한다.
실시예
모든 실험은, 도핑 처리하지 않은 TEOS 공정 키트를 사용하여, Advance Energy 2000 rf 생성기를 장착한 200 mm DxZ 챔버 내의 Applied Materials Precision-5000 시스템 상에서 수행하였다. 그 방법은 하기 기본 단계들을 포함하였다: 기체 흐름의 초기 설정 및 안정화 단계, 침착 단계, 및 웨이퍼 제거 전의 챔버 세정/배출(purge/evacuation) 단계. N2 하 425℃의 관형 노에서 4 시간 동안 필름을 어닐링하였다.
SCI Filmtek 2000 반사계 상에서 두께 및 굴절율을 측정하였다. 저저항 p-유형 웨이퍼(< 0.02 ohm-cm) 상에서 Hg 프로브 기술을 이용하여 유전 상수를 측정하였다. MTS 나노압입기를 사용하여 기계적 성질을 측정하였다. Thermo TA Instruments 2050 TGA 상에서 열중량 분석을 행하여 열안정성 및 배출-기체 생성량(off-gas products)을 측정하였다. Physical Electronics 5000 LS 상의 x-선 광전자 분광법(XPS)에 의해 조성 데이터를 얻었다. 표에 기록한 원자% 값은 수소를 포함하지 않는 것이다.
OSG 필름에 다공성을 도입하기 위하여 세 개의 경로를 선택하였다. 첫 번째 경로에서는 플라스마 강화 화학 증기 증착(PECVD)에 의하여 상기 OSG와 함께 포로겐으로서 열적 불안정성 유기 올리고머를 공증착시킨 후 열적 어닐링 단계에서 그 올리고머 후-침착물을 제거하는 방식으로, k가 < 2.6 으로 낮은 필름을 제조하는 것을 연구하였다.
실시예 1A
산화제가 없는 환경에서 PECVD를 통하여 디에톡시메틸실란(DEMS)을 사용하여 규소 웨이퍼 상에 알파-테르피넨(ATP)을 공증착시켰다. 그 공정 조건은 DEMS 중의 ATP 39.4 부피% 혼합물을 분당 700 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2 담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되는 것을 유도하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 5 torr, 웨이퍼 척 온도: 150℃, 샤워헤드 대 웨이퍼 공간: 0.26 인치, 그리고 플라스마 전력: 180 초 기간 동안 300 와트. 증착된 상기 필름은 두께가 650 nm 이고, 유전 상수가 2.8이었다. 상기 필름을 질소 하 425℃에서 4 시간 동안 어닐링하여, XPS에 의하여 입증된 바와 같이, 혼입된 ATP 거의 모두를 제거하였다. 도 1은 어닐링 전(보다 흐린 선) 및 후(보다 진한 선)의 상기 필름에 대한 적외선 스펙트럼을 나타내는 데, 이는 포로겐의 제거를 나타내는 것이다. 상기 어닐링된 필름은 두께가 492 nm 이고, 유전 상수가 2.4이었다(하기 표 2 참조). 도 4는 열 처리 중에 일어나는 중량 손실을 입증하는, 상기 필름의 열중량 분석을 나타낸다.
실시예 1B
산화제가 없는 환경에서 PECVD를 통하여 DEMS를 사용하여 규소 웨이퍼 상에 ATP를 공증착시켰다. 그 공정 조건은 DEMS 중의 알파-테르피넨의 70 부피% 혼합물을 분당 1300 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2 담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되게 하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 8 torr, 웨이퍼 척 온도: 200℃, 샤워헤드 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 120 초 기간 동안 600 와트. 상기 증착된 상태 그대로의 필름은 두께가 414 nm 이고, 유전 상수가 2.59이었다. 상기 필름을 질소 하 425℃에서 4 시간 동안 어닐링하여, 혼입된 ATP 거의 모두를 제거하였다. 상기 어닐링된 필름은 두께가 349 nm 이고, 유전 상수가 2.14이었다(하기 표 2 참조).
실시예 1C
어닐링을 400℃의 감소된 온도에서 수행한 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 제조된 필름의 적외선 스텍트럼(파수 포함)을 도 2에 도시한다. 상기 포로겐, ATP의 적외선 스펙트럼은 비교용으로 도 3에 도시한다.
실시예 1D( 비교예 )
포로겐을 사용하지 않은 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 필름은 유전 상수가 2.8 이었고, 조성이 실시예 1A의 어닐링된 필름과 거의 동일하였다(표 1 및 표 2 참조).
실시예 1E( 비교예 )
플라스마 전력을 400 와트로 한 것을 제외하고는 실질적으로 실시예 1D에 따라 필름을 제조하고 어닐링하였다. 필름은 유전 상수가 2.8 이었고, 조성이 실시예 1A의 어닐링된 필름과 거의 동일하였다(표 1 및 표 2 참조).
실시예 1F
디-t-부톡시메틸실란(DtBOMS) 중의 알파-테르피넨(ATP) 75 부피% 혼합물을 분당 1000 밀리그램(mgm)의 유속으로 흐르게 하는 공정 조건을 이용한 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 500 sccm의 CO2 담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되게 하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 7 torr, 웨이퍼 척 온도: 215℃, 샤워헤드 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 240 초 기간 동안 400 와트. 증착된 상기 필름은 두께가 540 nm 이고, 유전 상수가 2.8이었다. 상기 필름을 질소 하 425℃에서 4 시간 동안 어닐링하여, 혼입된 알파-테르피넨 거의 모두를 제거하였다. 상기 어닐링된 필름은 두께가 474 nm이고, 유전 상수가 2.10이었다. 그 모듈러스 및 경도는 각각 2.23 및 0.18 GPa이었다.
실시예 1G
산화제가 없는 환경에서 PECVD를 통하여 DtBOMS를 사용하여 규소 웨이퍼 상에 ATP를 공증착시켰다. 그 공정 조건은 DtBOMS 중의 ATP의 75 부피% 혼합물을 분당 700 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2 담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되는 것을 유도하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 9 torr, 웨이퍼 척 온도: 275℃, 샤워헤드 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 240 초 기간 동안 600 와트. 상기 증착된 상태 그대로의 필름은 두께가 670 nm 이고, 유전 상수가 2.64이었다. 상기 필름을 질소 하 425℃에서 4 시간 동안 어닐링하여, 혼입된 ATP 거의 모두를 제거하였다. 상기 어닐링된 필름은 두께가 633 nm이고, 유전 상수가 2.19이었다. 그 모듈러스 및 경도는 각각 3.40 및 0.44 GPa이었다.
실시예 2
세 번째 루트에서는 커다란 열적 불안정성 기가 부착된 실리카 전구체와 유기 규소 전구체의 물리적 혼합을 이용하여 k 가 < 2.6 으로 낮은 필름을 제조하는 것을 연구하였다. 이러한 루트의 효율을 입증하기 위하여, 하기 조건 하에서 푸푸로옥시디메틸실란을 TMCTS로 공증착시켰다. TMCTS 중의 푸르푸로옥시디메틸실란의 11 % 혼합물 흐름: 1000 mgm, He 담체 기체 흐름: 500 sccm, 챔버 압력: 6 torr, 웨이퍼 척 온도: 150℃, 샤워헤드 대 웨이퍼 공간: 0.26 인치, 그리고 플라스마 전력: 40 초 기간 동안 300 와트. 상기 증착된 상태 그대로의 필름은 두께가 1220 nm 이고, 유전 상수가 3.0이었다. 상기 푸르푸로옥시의 봉입은 증착된 상태 그대로의 필름의 FTIR로 나타내었다. 질소 하 400℃에서 1 시간 동안 열적 후처리한 후, k는 2.73으로 감소되었다. 이 경우는 열적 어닐링 후에 조차도 혼입된 푸르푸로옥시 기의 상당 부분이 남아있는 것으로 보인다.
전술한 실시예들은 증착된 상태 그대로의 필름 내로 다양한 작용기를 혼입시킬 수 있는 능력, 그리고 더욱 결정적으로는 k < 2.6의 물질을 가능하게 하는 포로겐의 적절한 선택의 중요성을 나타낸다. 또한, 그러한 루트들을 이용하여 기타 다양한 포로겐이 작용할 수 있다. 최적의 낮은 유전 상수를 제공하기 위하여, k < 2.6 의 물질은 OSG 네트워크 내에 적당한 유형 및 양의 유기 기를 혼입시킬 수 있는 양호한 네트워크-형성 유기실란/유기실록산 전구체를 필요로 한다. 산화제의 첨가가 필요없는 네트워크 형성 전구체를 사용하여 OSG 필름을 제조하는 것이 바람직하다. 이것은 산화에 민감한 탄화수소계 공극 형성 전구체를 사용하는 경우에 특히 중요하다. 산화는 증착 중에 공극 형성제를 상당히 변형시켜 추후 어닐링 공정 중에 제거될 수 있는 그의 능력을 상당히 방해하게 되는 원인이 될 수 있다.
XPS 데이터
실시예 설명 C O N Si 조건
1A DEMS-ATP 51.8 25.6 ND 22.6 150℃, 300 W
1A 어닐링 24.5 43.1 ND 32.4 425℃, 4 시간
1E DEMS 28.8 38.8 ND 32.4 150℃, 400 W
1E 어닐링 25.1 41.4 ND 33.5 425℃, 4 시간
1D DEMS 27.0 40.6 ND 32.4 150℃, 300 W
1D 어닐링 23.4 42.7 ND 33.9 425℃, 4 시간

·표면 세정을 위해 30 초간의 Ar 스퍼터 후에 모든 조성 분석; 고유 측정 오차 +/- 2 원자%.
·주: 수소는 XPS로 측정할 수 없다; 나타낸 원자 조성은 수소 없이 정규화한다.
필름 특성 데이터
실시예 설명 K 굴절율 △두께(%) H( GPa ) M( GPa )
1D; 1E 다양한 DEMS
(증착된 그대로)
2.9-3.1 1.435 - 0.30-0.47 2.4-3.5
1D; 1E 다양한 DEMS
(후처리)
2.80 1.405 7-10 - -
1A DEMS-ATP
(증착된 그대로)
2.80 1.490 - - -
1A DEMS-ATP
(후처리)
2.41 1.346 22 0.36 3.2
1B DEMS-ATP
(증착된 그대로)
2.59 - - -
1B DEMS-ATP
(후처리)
2.14 16
1F DtBOMS-ATP
(증착된 그대로)
2.80 1.491 - - -
1F DtBOMS-ATP
(후처리)
2.10 1.315 12 0.18 2.2
1G DtBOMS-ATP
(증착된 그대로)
2.64 1.473 - - -
1G DtBOMS-ATP
(후처리)
2.19 1.334 5.5 0.44 3.4

·주: 모든 증착은 150℃에서 수행하였고, 경도(H) 및 모듈러스(M)는 나노압입으로 측정하였다.
증착된 상태 그대로의, 및 N2 열적 후처리 DEMS/ATP 필름의 IR 스펙트럼의 비교에서, 불활성 대기 중의 열적 후처리가 포로겐의 선택적 제거 및 OSG 격자의 유지를 위해 성공적이라는 것이 밝혀졌다. 열적 어닐링 후 1275 cm-1에서 Si-CH3 흡수에는 본질적으로 변화가 없었다(Si-CH3은 OSG 네트워크와 관련됨). 그러나, ATP와 관련된 본질적으로 모든 탄소가 제거되었다는 것을 암시하는, 3000 cm-1 근처에서의 C-H 흡수의 급격한 감소가 관찰되었다. ATP에 대한 IR 스펙트럼은 도 3에 참고로 도시한다. 이러한 어닐링의 추가의 이점은 2240 및 2170 cm-1에서 Si-H 흡수가 상당히 감소되어 필름을 더욱 소수성이 되도록 한다는 점이다. 따라서, 본 발명의 특정 실시양태에 있어서, 필름의 Si 원자 각각은 단지 하나의 H 원자에 결합한다. 그러나, 다른 실시양태에 있어서, Si 원자에 결합되는 H 원자의 수는 그렇게 제한되지 않는다.
조성 분석은 425℃에서 4 시간 동안 어닐링한 후의 DEMS-ATP 필름(실시예 1A)이 동일한 방식으로 증착 및 어닐링한 DEMS 필름(실시예 1D)과 본질적으로 동일한 조성을 갖는다는 것을 나타낸다. 어닐링 전의 DEMS-ATP 필름은 상기 필름 중의 상당히 다량의 탄소계 물질을 나타낸다(IR 분석은 이러한 탄소계 물질이 ATP와 매우 유사하다는 것을 뒷받침한다 - 도 3 참조). 이는 ATP와 공증착되는 경우에 DEMS 필름 내로 혼입되는 포로겐 물질이 열적 후처리 공정에 의하여 본질적으로 완전히 제거된다는 주장을 뒷받침한다. 열중량 분석(도 4)은 350℃ 이상의 온도로 가열하는 경우에 증착된 상태 그대로의 물질의 상당한 중량 손실이 일어났다는 것을 나타내는데, 이는 어닐링 중의 포로겐 제거에 대한 추가의 증거가 된다. 관찰된 필름 수축은 포로겐 제거시 OSG 네트워크의 일정 부분의 붕괴로 인한 것일 수 있다. 그러나, OSG 네트워크에서 유기 기의 소량 손실이 있다. 즉, DEMS 내의 말단 메틸기는 거의 유지된다(표 1에 나타낸 DEMS 필름에 대한 예비 열처리 및 후 열처리의 XPS 데이터 참조). 이는 IR 스펙트럼 중의 ∼1275 파수에서의 비교적 균등한 Si-CH3 밴드에 의해서 뒷받침된다. 상기 물질의 소수성은 IR 스펙트럼 중의 Si-OH 기의 결핍에 의해 유지된다. 후-어닐링 필름의 유전 상수 및 굴절율의 감소는 필름 두께의 감소에도 불구하고 예비 어닐링된 필름보다 그 밀도가 낮다는 것을 암시한다. 양전자 전멸 수명 분광법(PALS)는 균등한 구형 직경 ∼1.5 nm 의 범위에서 샘플 1A, 1B 및 1F에 대한 공극 크기를 나타낸다. 또한, Grill 등(도입부에서 참조 인용함)의 작업과 달리, 조성 변화와 관련한 두께 손실 분석(실시예 1A)은 OSG 네트워크가 어닐링 중에 유지되며, 상당히 붕괴되지는 않는다는 것을 나타낸다.
실시예 3 - 개선된 기계적 특성/환형 포로겐
몇몇 필름을 상기 상술한 바와 같이 Applied Materials Precision 5000 Platform에서 제조하였다. 융합 광대역 UV 벌브로 UV 처리를 실시하였다. 다공성 필름의 기계적 특성을 MTS AS-1 나노압입기에 의한 나노압입에 의해 측정하였다.
표 3과 관련하여, 유전 상수가 2.5인 DEMS/시클로옥탄 필름은 동일한 유전 상수를 갖는 DEMS/ATRP 필름에 비해 35% 큰 증대된 모듈러스를 보유한다. 시클로옥탄은 탄소-탄소 이중 결합이 없고, 펜단트 또는 분지쇄 구조가 없는 반면에, 알파-테르피넨은 2개의 탄소-탄소 이중 결합을 갖고 메틸 및 프로필 기가 탄소 고리 상에 치환된 분지쇄 구조이다. 알파-테르피넨의 이온화 에너지는 시클로옥탄의 이온화 에너지보다 거의 2 eV 낮은 것으로 산출되었다. 이로써 유기실란 전구체를 더욱 분해하여 궁극적으로 OSG 네트워크에 보다 적은 메틸을 혼입할 수 있는 것으로 생각된다.
포로겐 불포화도 분지쇄형 또는 비분지쇄형 환형 또는 비환형 유전 상수 경도 모듈러스
(Gpa)
Si-CH3/Si-O
FT-IR
이온화 에너지
시클로옥탄 1 비분지쇄형 환형 2.5 1.53 10.8 1.2% 8.92 eV
노르보르나디엔 4 비분지쇄형 환형 2.5 1.07 7.1 2.0% 7.93 eV
디메틸헥사디엔 2 분지쇄형 비환형 2.5 7.9 1.7% 7.12 eV
알파-테르피넨 3 분지쇄형 환형 2.5 0.95 6.6 2.0% 7.00 eV
리모넨 3 분지쇄형 환형 2.5 1.1 7.8 1.7% 7.62 eV
표 4와 관련하여, 분자당 탄소수가 일정하게 유지되는 포로겐 전구체와 혼합된 DEMS에 대해서 실험을 또한 실시하였다. 데이타는 불포화도가 낮은 환형의 비분지쇄형 구조가 높은 기계적 강도의 필름을 생성하는 데 바람직한 포로겐 전구체임을 나타내었다. 비환형이고 분지쇄형인, 이소-옥탄에 의해 생성된 필름은 최저의 경도 수치를 산출하였다. 환형이고 비분지쇄형이며 포화도가 1인, 시클로옥탄에 의해 생성된 필름은 최고의 경도 수치를 산출하였다.
포로겐 불포화도 분지쇄형 또는 비분지쇄형 환형 또는 비환형 유전 상수 경도
시클로옥탄 1 비분지쇄형 환형 2.2 1.0
이소-옥탄 1 분지쇄형 비환형 2.2 0.2
시클로-옥텐 2 비분지쇄형 환형 2.3 0.8
표 5와 관련하여, 상기 기술된 포로겐 전구체를 적용하여 유전 상수가 2.27∼2.46인 필름을 생성하였다. 비교가능한 2.26∼2.27의 유전 상수에서, 1,5-시클로옥타디엔을 전구체로서 사용하는 DEMS 필름(불포화도 3)은 메틸시클로펜타디엔-이량체를 전구체로서 사용하는 필름(불포화도 5)보다 모듈러스가 40% 높다. 비교가능한 2.41∼2.46의 유전 상수에서, 시클로헵탄을 사용하는 DEMS 필름(불포화도 1)은 비닐시클로헥산을 사용하는 필름(불포화도 2)보다 모듈러스가 9% 높다.
포로겐 포로겐:(DEMS+포로겐) 비율 전력
[Watt]

[Mil]
압력
[Torr]
온도
[℃]
액체 흐름
[mg/분]
CO2 흐름
sccm
O2 흐름
sccm
시클로옥텐 80% 500 350 8 275 800 200 20
1,5-시클로옥타디엔 70% 400 350 8 275 800 200 20
시클로헵탄 90% 600 350 8 275 800 200 20
비닐시클로헥산 80% 600 350 8 275 800 200 20
메틸시클로펜타디엔 이량체 70% 600 350 8 275 600 200 20
포로겐 유전 상수 불포화도 모듈러스
[GPa]
수축율
[%]
Dep 비율
시클로옥텐 2.32 2 5.8 14 360
1,5-시클로옥타디엔 2.27 3 3.7 22 451
시클로헵탄 2.41 1 7.3 10 212
비닐시클로헥산 2.46 2 6.7 16 330
메틸시클로펜타디엔 이량체 2.26 5 2.6 21 762
실시예 4 - 필름 특성
도 5와 관련하여, 상기와 같이 증착된 상태 그대로의 포로겐 구조는 FT-IR에 의한 3100∼2800 cm-1 파수 범위에서의 흡착율에 의해 특성화된다. 약 2960 cm-1에서 중심화된 피크는 -CH3 확장 모드에 기인하는 반면에, 약 2930 cm-1에서 중심화된 피크는 -CH2 확장 모드에 기인한다. 도 6과 관련하여, 환형의 분지쇄형 포로겐 전구체는 복합 필름 중에 더욱 폴리에틸렌 -CH2 유사한 포로겐을 유도한다. 도 5는 상기 물질에 대해서 2930 cm-1에서 중심화된 피크가 2960 cm-1에서 중심화된 피크보다 더 큰 높이에 있다. 특정 이론에 얽매임을 바람 없이, 시클로옥탄(및 기타 바람직한 포로겐)으로부터 증착된 폴리에틸렌 유사 유기 물질은 필름으로부터 제거하기 더욱 용이하며 경화 챔버 내에 광흡수성 잔류물(예를 들어, 불포화 컨쥬게이트된 방향족 탄소)을 덜 생성할 수 있다. 출원인은 놀랍게도 이러한 효과가 증착 및 UV 경화 챔버를 소제하는 데 필요한 시간을 감소시키고 전체 처리량을 향상시킨다는 것을 발견하였다. 예를 들어, 도 7과 관련하여, 환형의 비분지쇄형 불포화 포로겐 전구체는 다른 포로겐들이 하는 것보다 포로겐 제거 후에 269 nm에서의 UV 신호를 덜 차단한다. 종래 유형의 필름에 필요한 경화 공정 후 소제 시간이 감소되었음을 또한 확인하였다. 도 7에서, 시클로옥탄(포화도가 1인 환형의 비분지쇄형 전구체)의 유효 잔류물은 챔버의 창 상의 UV 강도를 덜 차단하고, 리모넨(불포화도가 3인 환형의 분지쇄형)에 비해 챔버 소제 시간을 더욱 단축시켰다.
도 8, 9 및 10과 관련하여, 본 발명자는, 불포화도가 낮은 환형의 비분지쇄형 포로겐 전구체를 적용하는 것이 보다 낮은 규소-메틸의 필름 다공성 필름 내의 혼입율을 유도함을 발견하였다. Si-CH3/Si-O 화학종의 비율은 필름의 네트워크 연결도의 측정 수단이며, 인접하는 배리어층과의 접착력 및 필름 모듈러스와 직접적인 관련이 있는 것으로 확인되었다. 특정 이론에 얽매임을 바람 없이, 이러한 부류의 포로겐은 생성된 필름 내에 더욱 강한 유기실리케이트를 형성할 수 있다는 것으로 생각된다.
실시예 5
필름 5-A 및 5-B에 대해서, 1,3-디실라부탄을 PECVD를 통해 규소 웨이퍼 상에 시클로옥탄과 함께 공침착시켰다. 10 sccm의 O2 이외에 200 sccm의 CO2를 사용하여 상기 화학물질을 침착 챔버에 유도하였다. 필름을 1∼20 torr의 헬륨 흐름 하에 광역 UV 방사선에 노출시켜 경화시켰다. 표 6에서의 상대적인 화학 농도를 FT-IR 피크 면적으로 이용하여 추정하였다. 데이타를 하기 파수 범위에서 적분하였다: SiCH3 (1250-1300 cm-1), Si-CH2-Si (1340-1385 cm-1), Si-O (950-1250 cm-1).
도 11에서 도시된 바와 같이, 필름 5-A 및 5-B는 1360 cm-1 범위에서 FT-IR 신호가 증가하며, 이는 Si-CH2-Si 유형의 화학종의 증대를 의미한다. 더욱이, 표 6은 필름 5-A 및 5-B가 디에톡시메틸실란 (DEMS) 및 알파-테르피넨 (ATP)을 이용하여 증착한 필름보다 SiO에 대한 메틸렌의 비율이 매우 큼을 나타내었다.
유전 상수 Si-CH3/Si-O Si-CH2-Si/Si0-O
DEMS - ATP 2.50 0.016 1 E-4
5-A 2.54 0.020 1 E-3
5-B 2.78 0.042 5 E-3
실시예 6
필름 6A-6D, 비스-트리에톡시실릴메탄을 PECVD를 통해 규소 웨이퍼 상에 시클로옥탄과 함께 공증착시켰다. 20 sccm의 O2 이외에 200 sccm의 CO2를 사용하여 상기 화학물을 침착 챔버에 유도하였다. 필름을 1∼20 torr의 헬륨 흐름 하에 광역 UV 방사선에 노출시켜 경화시켰다. 기계적 특성 및 유전 상수를 표 7에 도시하였으며, 여기서 2.85 GPa의 모듈러스가, 상기 배합 및 바람직한 포로겐을 이용한 유전 상수가 1.92인 필름에 대해서 달성되었다.
필름 두께 (nm) 굴절율 유전 상수 모듈러스 Gpa
6A 645 1.26 2.00 2.90
6B 630 1.27 1.92 2.85
6C 586 1.36 2.15 3.30
6D 895 1.34 2.33 8.96
본 발명은 앞서 몇몇 바람직한 실시양태와 관련하여 진술되었으나, 본 발명의 범위는 이들 실시양태보다 넓은 것으로 간주되며, 하기 청구의 범위로부터 확인되어야 한다.

Claims (24)

  1. 화학식 SivOwCxHyFz(여기서, v+w+x+y+z = 100 원자%이고, v는 10∼35 원자%이며, w는 10∼65 원자%이고, x는 5∼30 원자%이며, y는 10∼50 원자%이고, z는 0∼15 원자%임)로 표시되는 다공성 유기실리카 유리 필름을 제조하기 위한 화학 증기 증착 방법으로서,
    기재를 진공 챔버에 공급하는 단계;
    상기 진공 챔버에 유기실란 및 유기실록산으로 구성된 군으로부터 선택된 1 이상의 전구체 및 상기 전구체와는 별개인 포로겐을 포함하는 기체 시약을 투입하는 단계로서, 상기 포로겐은 비분지쇄형 구조를 가지며 불포화도가 2 이하인 환형 탄화수소 화합물로서 시클로헵탄인 단계;
    진공 챔버 내의 기체 시약에 플라즈마 에너지를 가하여 기체 시약의 반응을 유도함으로써 포로겐을 함유하는 예비 필름을 기재 상에 증착시키는 단계; 및
    상기 예비 필름으로부터 모든 불안정한 유기 물질을 자외선 조사로의 노출에 의해 제거하여 공극을 보유하고 유전 상수가 2.6 미만이며 기계적 모듈러스가 6.7GPa 초과인 다공성 필름을 제공하는 단계를 포함하는 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
KR1020170092987A 2008-05-05 2017-07-21 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 KR101912534B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/115,087 US20080268177A1 (en) 2002-05-17 2008-05-05 Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US12/115,087 2008-05-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150061429A Division KR20150059149A (ko) 2008-05-05 2015-04-30 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Publications (2)

Publication Number Publication Date
KR20170089804A KR20170089804A (ko) 2017-08-04
KR101912534B1 true KR101912534B1 (ko) 2018-10-26

Family

ID=40996827

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020090038919A KR20090115915A (ko) 2008-05-05 2009-05-04 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020120060323A KR20120073190A (ko) 2008-05-05 2012-06-05 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020150061429A KR20150059149A (ko) 2008-05-05 2015-04-30 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020170092987A KR101912534B1 (ko) 2008-05-05 2017-07-21 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020170092984A KR101911798B1 (ko) 2008-05-05 2017-07-21 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020090038919A KR20090115915A (ko) 2008-05-05 2009-05-04 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020120060323A KR20120073190A (ko) 2008-05-05 2012-06-05 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR1020150061429A KR20150059149A (ko) 2008-05-05 2015-04-30 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170092984A KR101911798B1 (ko) 2008-05-05 2017-07-21 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Country Status (6)

Country Link
US (1) US20080268177A1 (ko)
EP (1) EP2116632A3 (ko)
JP (2) JP5270442B2 (ko)
KR (5) KR20090115915A (ko)
CN (2) CN101575700A (ko)
TW (1) TWI397606B (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
WO2011099768A2 (ko) 2010-02-09 2011-08-18 서강대학교산학협력단 고온 오존처리를 포함하는 나노기공 초저유전 박막의 제조 방법 및 이에 의해 제조된 나노기공 초저유전 박막
TWI550121B (zh) * 2010-02-17 2016-09-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 SiCOH低K膜之氣相沈積法
US20110206857A1 (en) * 2010-02-25 2011-08-25 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
CN101789418B (zh) * 2010-03-11 2011-12-28 复旦大学 一种多孔超低介电常数材料薄膜及其制备方法
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8441006B2 (en) * 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US8772154B2 (en) * 2011-06-17 2014-07-08 GlobalFoundries, Inc. Integrated circuits including barrier polish stop layers and methods for the manufacture thereof
US9054110B2 (en) * 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
DE102013215400A1 (de) * 2013-08-06 2015-02-12 Robert Bosch Gmbh Silicat-Aerogel und Verfahren zu seiner Herstellung
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
KR102650626B1 (ko) * 2015-02-06 2024-03-21 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
CN107636852B (zh) * 2015-03-09 2021-06-25 弗萨姆材料美国有限责任公司 用于沉积用作电阻随机存取存储器的多孔有机硅酸盐玻璃膜的方法
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US11749563B2 (en) * 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
CN116288249A (zh) * 2018-08-10 2023-06-23 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
US20200165727A1 (en) * 2018-11-27 2020-05-28 Versum Materials Us, Llc 1-Methyl-1-Iso-Propoxy-Silacycloalkanes And Dense Organosilica Films Made Therefrom
EP4010441B1 (en) * 2019-08-09 2023-09-06 Merck Patent GmbH Low dielectric constant siliceous film manufacturing composition and methods for producing cured film and electronic device using the same
KR20220061161A (ko) * 2019-09-13 2022-05-12 버슘머트리얼즈 유에스, 엘엘씨 모노알콕시실란 및 디알콕시실란과 이로부터 제조된 고밀도 오가노실리카 필름
CN114616652A (zh) * 2019-09-13 2022-06-10 弗萨姆材料美国有限责任公司 单烷氧基硅烷及由其制备的致密有机二氧化硅膜
EP4110969A4 (en) * 2020-03-31 2023-10-18 Versum Materials US, LLC NEW PRECURSOR FOR DEPOSING HIGH ELASTIC MODULE FILM

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100494194B1 (ko) * 2002-04-17 2005-06-10 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2536013A1 (de) * 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
US5296624A (en) * 1992-11-25 1994-03-22 Huls America, Inc. Preparation of sterically-hindered organosilanes
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
WO2002043119A2 (en) * 2000-10-25 2002-05-30 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
KR100432152B1 (ko) * 2001-04-12 2004-05-17 한국화학연구원 다분지형 폴리알킬렌 옥시드 포로젠과 이를 이용한저유전성 절연막
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP3418383B2 (ja) * 2001-05-31 2003-06-23 沖電気工業株式会社 半導体装置の製造方法
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
JP4139952B2 (ja) * 2002-07-31 2008-08-27 日本電気株式会社 共重合高分子膜及びその形成方法、並びに共重合高分子膜を用いた半導体装置
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
CN1229400C (zh) * 2003-09-18 2005-11-30 中国石油化工股份有限公司 用于烯烃聚合的催化剂组分及其催化剂
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
EP1931613B1 (en) * 2005-09-12 2015-11-11 FujiFilm Electronic Materials USA, Inc. Additives to prevent degradation of cyclic alkene derivatives
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100494194B1 (ko) * 2002-04-17 2005-06-10 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Also Published As

Publication number Publication date
JP5270442B2 (ja) 2013-08-21
KR101911798B1 (ko) 2018-10-26
CN103147066A (zh) 2013-06-12
EP2116632A2 (en) 2009-11-11
KR20170089803A (ko) 2017-08-04
EP2116632A3 (en) 2010-08-25
CN101575700A (zh) 2009-11-11
KR20120073190A (ko) 2012-07-04
KR20150059149A (ko) 2015-05-29
KR20090115915A (ko) 2009-11-10
TWI397606B (zh) 2013-06-01
US20080268177A1 (en) 2008-10-30
TW200946710A (en) 2009-11-16
JP2009272632A (ja) 2009-11-19
KR20170089804A (ko) 2017-08-04
JP2012084912A (ja) 2012-04-26

Similar Documents

Publication Publication Date Title
KR101912534B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
US6846515B2 (en) Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
US7384471B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
US8293001B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP5711176B2 (ja) 組成物
US8951342B2 (en) Methods for using porogens for low k porous organosilica glass films

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant