KR101863388B1 - 평탄한 실리콘 함유막 - Google Patents

평탄한 실리콘 함유막 Download PDF

Info

Publication number
KR101863388B1
KR101863388B1 KR1020137009445A KR20137009445A KR101863388B1 KR 101863388 B1 KR101863388 B1 KR 101863388B1 KR 1020137009445 A KR1020137009445 A KR 1020137009445A KR 20137009445 A KR20137009445 A KR 20137009445A KR 101863388 B1 KR101863388 B1 KR 101863388B1
Authority
KR
South Korea
Prior art keywords
film
silicon
plasma
reactant
pecvd
Prior art date
Application number
KR1020137009445A
Other languages
English (en)
Other versions
KR20130140671A (ko
Inventor
키스 폭스
동 니우
조 워맥
만디암 스리람
조지 앤드류 안토넬리
슈라벤디크 바르트 판
제니퍼 올러프린
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130140671A publication Critical patent/KR20130140671A/ko
Application granted granted Critical
Publication of KR101863388B1 publication Critical patent/KR101863388B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

초평탄 실리콘 함유막들 및 막 스택들을 위한 방법들 및 하드웨어가 개시된다. 일 예에 있어서, 플라즈마 강화 화학기상증착 장치에서 기판 상에 실리콘 함유막을 성막하는 방법의 일 실시형태가 개시되며, 방법은 플라즈마 강화 화학기상증착 장치에 실리콘 함유 반응물을 공급하는 단계; 플라즈마 강화 화학기상증착 장치에 공반응물을 공급하는 단계; 플라즈마 강화 화학기상증착 장치의 프로세스 스테이션에 용량 결합형 플라즈마를 공급하는 단계로서, 플라즈마는 실리콘 함유 반응물로부터 발생된 실리콘 라디칼들 및 공반응물로부터 발생된 공반응물 라디칼들을 포함하는, 용량 결합형 플라즈마를 공급하는 단계; 및 기판 상에 실리콘 함유막을 성막하는 단계를 포함하고, 실리콘 함유막은 1.4 내지 2.1 의 굴절률을 가지며, 실리콘 함유막은 또한 실리콘 기판 상에서 측정될 때 4.5 이하의 절대 조도를 갖는다.

Description

평탄한 실리콘 함유막{SMOOTH SILICON-CONTAINING FILMS}
관련 출원들의 상호 참조
본 출원은, 발명의 명칭이 "IN-SITU PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION OF FILM STACKS" 이고, 2010년 9월 13일에 출원된 미국 가특허출원 제 61/382,465 호; 발명의 명칭이 "SMOOTH SILANE-BASED FILMS" 이고, 2010년 9월 13일에 출원된 미국 가특허출원 제 61/382,468 호; 발명의 명칭이 "IN-SITU PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION OF FILM STACKS" 이고, 2010년 10월 19일에 출원된 미국 가특허출원 제61/394,707호; 및 발명의 명칭이 "SMOOTH SILICON-CONTAINING FILMS" 이고, 2010년 12월 16일에 출원된 미국 특허출원 제12/970,853호에 대해 우선권을 주장하며, 이들 전부는 본 명세서에서 모든 목적들을 위해 참조로서 통합된다.
3 차원 (3D) 메모리 디바이스들에 대한 막 스택들을 패터닝하는 것이 어려울 수 있다. 막 층들을 성막하기 위한 몇몇 종래의 원자층증착 (ALD), 화학기상증착 (CVD), 고밀도 플라즈마 화학기상증착(HDP-CVD) 및 플라즈마 강화 화학기상증착 (PECVD) 프로세스들은, 수용할 수 없을 정도로 거친 막들을 제조할 수도 있고, 막 층들 사이에 수용할 수 없는 계면 혼합을 야기할 수도 있으며, 연속 성막된 막 층들 사이의 진공 파괴에 의해 야기되는 계면 결함들을 가질 수도 있다. 얻어지는 거친 막 계면들 및 계면 결함들은, 막 스택이 축적될 때, 연속 성막된 층들에 의해 커질 수도 있어서, 막 스택의 상부 표면이 다운스트림 패터닝 프로세스들에 대하여 수용할 수 없을 정도로 거칠어질 수도 있다. 또한, 막 스택 내의 계면 결함들은 3D 메모리 디바이스 내에서 구조적 및/또는 전기적 결함들을 유도할 수도 있다.
본 명세서에서 설명되는 다양한 실시형태들은 초평탄 실리콘 함유 막들을 성막하는 것과 관련된다. 예를 들어, 개시된 일 실시형태는 인 시튜로 기판 상에 제 1 막 및 제 2 막을 포함하는 막 스택을 형성하는 방법을 제공하며, 제 1 막은 제 2 막과 상이한 재료 조성을 갖는다. 방법은, 제 1 막 성막 페이즈에서, 제 1 반응물 가스 혼합물을 프로세스 스테이션에 공급하는 단계, 제 1 반응물 가스 혼합물을 사용하여 제 1 플라즈마를 유지하는 단계, 제 1 플라즈마에 의해, 기판 상에 제 1 막을 성막하는 단계; 및 제 2 막 성막 페이즈에서 그리고 진공 파괴를 개입시키지 (intervening) 않고, 프로세스 스테이션에 제 2 반응물 가스 혼합물을 공급하는 단계, 제 2 반응물 가스 혼합물을 사용하여 제 2 플라즈마를 유지하는 단계, 제 2 플라즈마에 의해, 기판 상에 제 2 막을 성막하는 단계, 및 제 2 막의 두께 증가에 따라 제 2 막의 절대 조도 (roughness) 가 감소하도록 제 2 막 성막 페이즈의 프로세스 파라미터를 제어하는 단계를 포함한다.
다른 개시된 실시형태는, 플라즈마 강화 화학기상증착 장치에 실리콘 함유 반응물을 공급하는 단계; 플라즈마 강화 화학기상증착 장치에 공반응물 (co-reactant) 을 공급하는 단계; 플라즈마 강화 화학기상증착 장치의 프로세스 스테이션에 용량 결합형 플라즈마를 공급하는 단계로서, 플라즈마는 실리콘 함유 반응물로부터 발생된 실리콘 라디칼들 및 공반응물로부터 발생된 공반응물 라디칼들인, 용량 결합형 플라즈마를 공급하는 단계; 및 기판 상에 실리콘 함유막을 성막하는 단계를 포함하고, 실리콘 함유막은 1.4 내지 2.1의 굴절률을 갖고, 또한 실리콘 함유막은 실리콘 기판 상에서 측정될 때 (즉, 실리콘 기판 상에 직접 성막된 막 상에서 측정될 때) 4.5Å 이하의 절대 조도를 갖는다.
이러한 개요는 이하 상세한 설명에서 더 설명되는 개념들의 선택을 간략화된 형태로 소개하기 위해 제공된다. 이 개요는 청구되는 청구물의 주요 피쳐들 또는 본질적인 피쳐들을 식별하려는 것도 아니며, 청구되는 청구물의 범위를 한정하기 위해 사용되는 것도 아니다. 또한, 청구되는 청구물은 본 개시물의 임의의 부분에서 주시되는 임의의 또는 모든 단점들을 해결하는 구현들에 한정되지 않는다.
도 1은 기판 상에 성막된 제 1 막 및 제 2 막의 교번층들을 포함하는 막 스택의 일 예의 실시형태를 나타낸다.
도 2는 11 쌍의 교번 SiN/SiO2 층들을 포함하는 막 스택의 상부에 성막된 일 예의 테트라에틸 오르도실리케이트 (TEOS) 계 플라즈마 강화 화학기상증착 (PECVD) SiO2막의 표면에 대한 원자력 현미경 (AFM) 이미지를 나타낸다.
도 3은 11 쌍의 교번 SiN/SiO2 층들을 포함하는 막 스택의 상부에 성막된 일 예의 종래 실란계 PECVD SiO2막의 표면에 대한 원자력 현미경 (AFM) 이미지를 나타낸다.
도 4는 14 쌍의 교번 SiN/SiO2 층들을 포함하는 막 스택의 상부에서 본 개시물의 일 실시형태에 따라 성막된 일 예의 초평탄 PECVD SiO2막의 표면에 대한 원자력 현미경 (AFM) 이미지를 나타낸다.
도 5은 종래의 PECVD 및 원자층증착 (ALD) SiO2 막들과 본 개시물의 실시형태들에 따라 성막된 예시의 초평탄 PECVD SiO2막들 사이의 절대 조도의 비교를 그래프로 나타낸다.
도 6은 본 개시물의 일 실시형태에 따라 성막된 예시의 초평탄 PECVD SiO2막들을 포함하는 막 스택의 일 실시형태를 개략적으로 나타내며, 초평탄 PECVD SiO2막들에는 실리콘 질화물막들이 끼워져 있다.
도 7은 도 6에 개략적으로 도시된 막 스택의 상부에 성막된 본 개시물의 일 실시형태에 따라 성막된 초평탄 PECVD SiO2막을 개략적으로 나타낸다.
도 8은 도 6에 개략적으로 도시된 막 스택의 상부에서 노출된 실리콘 질화물 표면의 AFM 이미지를 나타낸다.
도 9는 도 7에 개략적으로 도시된 막 스택의 상부에서 본 개시물의 일 실시형태에 따라 성막된 300Å 초평탄 PECVD SiO2막의 표면의 AFM 이미지를 나타낸다.
도 10은 도 7에 개략적으로 도시된 막 스택의 상부에서 본 개시물의 일 실시형태에 따라 성막된 3000Å 초평탄 PECVD SiO2막의 표면의 AFM 이미지를 나타낸다.
도 11은 본 개시물의 일 실시형태에 따라 성막된 예시의 초평탄 PECVD SiO2막들을 포함하는 막 스택의 다른 실시형태를 개략적으로 나타내며, 초평탄 PECVD SiO2막들에는 실리콘 질화물막들이 끼워진다.
도 12는 본 개시물의 일 실시형태에 따라 성막된 초평탄 PECVD SiO2 막들, 종래 TEOS계 PECVD SiO2 막들, 및 종래 실란계 PECVD SiO2 막들의 두께와 이러한 막들에 대한 절대 조도 측정치들 사이의 일 예의 관계를 그래프로 나타낸다.
도 13은 도 11에 개략적으로 도시된 막 스택의 상부에 성막된 실리콘 질화물막을 개략적으로 나타낸다.
도 14는 본 개시물의 일 실시형태에 따라 성막된 초평탄 PECVD SiO2막들, 종래 TEOS계 PECVD SiO2 막들, 및 종래 실란계 PECVD SiO2막들의 두께와, 이러한 각각의 SiO2막 상에 성막된 800Å 실리콘 질화물막에 대한 절대 조도 측정치들 사이의 일 예의 관계를 그래프로 나타낸다.
도 15는 본 개시물의 일 실시형태에 따라 초평탄 PECVD 실리콘 함유막을 성막하는 방법을 도시하는 플로우 챠트를 나타낸다.
도 16은 종래의 PECVD SiO2막들 및 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들에 대한 표면 조도와 실란 유량 (flow rate) 사이의 일 예의 관계를 그래프로 나타낸다.
도 17은 도 7에 나타낸 예시의 막들에 대하여 실란 유량과 SiO2막 성막 레이트 사이의 일 예의 관계를 나타낸다.
도 18은 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들에 대한 프로세스 스테이션 압력과 SiO2막 성막 레이트들 사이의 관계들을 그래프로 나타내며, SiO2 막들은 전체 가스 유량들은 상이하지만 유사한 실란 유량들을 갖는 초평탄 PECVD 프로세스들로부터 성막된다.
도 19는 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들에 대한 막 스트레스, SiO2막 성막 레이트 및 실란 유량 사이의 관계를 그래프로 나타낸다.
도 20은 본 개시물의 일 실시형태에 따라 성막된 초평탄 PECVD SiO2막에 대한 막 스트레스, 기판 보우 (bow) 및 막 두께 사이의 관계들을 나타낸다.
도 21은 열성장된 SiO2 막, TEOS 계 PECVD SiO2 막 및 본 개시물의 일 실시형태에 따라 성막된 초평탄 PECVD SiO2 막에 대한 Si-O 결합-스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들의 비교를 그래프로 나타낸다.
도 22는 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들의 절대 조도와 고주파 플라즈마의 전력 레벨 사이의 관계를 그래프로 나타낸다.
도 23은 본 개시물의 실시형태들에 따라 성막된 PECVD SiO2 막들에 대한 프로세스 스테이션 압력의 의존도 및 절대 조도를 그래프로 도시한다.
도 24는 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2 막들에 대한 기판 범위 내 불균일도와 프로세스 스테이션 압력 사이의 관계를 그래프로 나타낸다.
도 25는 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들에 대한 성막 레이트와 아르곤 유량 사이의 관계를 그래프로 나타낸다.
도 26은 본 개시물의 실시형태들에 따라 성막된 초평탄 PECVD SiO2막들의 절대 조도와 아르곤 유량 사이의 관계를 그래프로 나타낸다.
도 27은 본 개시물의 실시형태들에 따라 성막된 예시의 초평탄 PECVD 실리콘 산화물 및 실리콘 산질화물막들에 대한 Si-O 결합-스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들의 다른 비교를 그래프로 나타낸다.
도 28은 본 개시물의 실시형태들에 따라 성막된 예시의 초평탄 PECVD 실리콘 질화물막들과 일 예의 종래 실리콘 질화물막에 대한 Si-N 결합-스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들의 비교를 그래프로 나타낸다.
도 29는 본 개시물의 실시형태들에 따라 성막된 예시의 초평탄 PECVD 실리콘 질화물막들과 일 예의 종래 실리콘 질화물막에 대한 N-H 결합-스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들의 다른 비교를 그래프로 나타낸다.
도 30은 본 개시물의 실시형태들에 따라 성막된 예시의 초평탄 PECVD 실리콘 질화물막들과 일 예의 종래 실리콘 질화물막에 대한 Si-H 결합-스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들의 다른 비교를 그래프로 나타낸다.
도 31은 본 개시물의 일 실시형태에 따른 프로세스 스테이션을 개략적으로 나타낸다.
도 32는 본 개시물의 일 실시형태에 따른 멀티 스테이션 프로세스 툴을 개략적으로 나타낸다.
도 33은 본 개시물의 일 실시형태에 따른 다른 멀티 스테이션 프로세스 툴을 개략적으로 나타낸다.
도 34는 본 개시물의 일 실시형태에 따른 다른 멀티 스테이션 스테이션 툴을 개략적으로 나타낸다.
NAND 플래시 메모리와 같은 일부 메모리 디바이스들은 2차원 어레이들로 배열된다. 이러한 메모리 디바이스들은 평면 배열에 한정되기 때문에, 다이 사이즈 및 메모리 밀도 파라미터들이 디바이스의 전체 메모리 용량을 제약한다. 결국, 메모리 용량을 확장하기 위해 더 큰 다이 사이즈로 진행하게 되면, 메모리 디바이스의 비용을 비교적 증가시킬 수 있으며, 이는 더 큰 용량 메모리 디바이스들의 채택을 지연시킬 수도 있다. 최근, 메모리 게이트들을 3차원 (3D) 어레이들로 배열하는 일부 접근법들이 제안되고 있다. 이 접근법들의 일부는 교번하는 막 조성의 스택들을 패터닝함으로써 트랜지스터들을 통합한다. 도 1은 기판 (106) 상에 제 1 막 (102) 및 제 2 막 (104) 의 교번층들을 포함하는 일 예의 막 스택 (100) 을 개략적으로 나타낸다.
이러한 막 스택들을 패터닝하는 것은 어려울 수 있다. 예를 들어, 성막으로부터 발생하는 거친 막 표면들 및/또는 성막 이벤트들 사이의 진공 파괴에 의해 야기되는 막 크랙들은 연속 성막된 층들에 리플 (ripple) 들을 야기할 수도 있다. 막 스택이 축적됨에 따라, 이러한 파상 (undulation) 들은 사이즈가 더 커질 수 있고 다운스트림 패터닝 동작들에서 포커스, 노광 및 에칭의 문제들을 야기할 수도 있다. 따라서, 각 층이 고도로 평활화되는 것이 바람직하다.
이러한 실리콘 이산화물막을 성막하는 일부 접근법들은 테트라에틸 오르도실리케이트 ((Si(OC2H5)4, 또는 TEOS)) 계 플라즈마 강화 화학기상증착 (PECVD) 프로세스들 또는 실란계 PECVD 프로세스들을 사용하는 것을 포함한다. 그러나, 이러한 종래 PECVD 프로세스들은 수용할 수 없을 정도로 거친 막들을 생성할 수도 있다. 예를 들어, SiO2를 성막하는 종래의 실란계 PECVD 프로세스들은 베어 실리콘 기판 상에 성막된 1000Å막에 대하여 7.2Å 의 절대 조도 (Ra) 를 나타내고, SiO2 를 성막하는 종래 TEOS계 PECVD 프로세스들은 실리콘 기판 상에 성막된 1000Å막에 대하여 4.5Å Ra의 조도를 나타낸다 (즉, 베어 실리콘 기판 상에 직접 성막된 막 상에서 측정될 때).
거친 막들의 스택에 대한 영향은 누적될 수 있어서, 막 스택의 상부 표면이 개별 막들보다 더 거칠어질 수 있다. 도 2 및 도 3은 원자력 현미경 (AFM) 에 의해 측정된 예시의 SiN/SiO2 막 스택들에 대한 표면 조도 이미지들을 나타낸다. 도 2는 TEOS계 PECVD SiO2 성막 프로세스를 사용하여 11 쌍의 SiN/SiO2 막들을 갖는 막 스택에 대한 AFM 이미지 (200) 를 도시한다. 참조로, 베어 실리콘 기판 상에 성막된 1000Å 실리콘 질화물막의 조도는 5.1Å Ra 이다.
도 2에 나타낸 예에 있어서, 막 스택의 상부에서 TEOS계 SiO2 막은 대략 9.9 ~ 10.6Å Ra의 조도를 나타낸다. 도 3은 실란계 PECVD SiO2 프로세스를 사용하여 (예를 들어, 대략 500 ~ 600 sccm의 유량의 실란을 사용하여), SiN/SiO2 막들의 11 개의 교번 쌍들을 갖는 막 스택에 대한 AFM 이미지 (300) 를 도시한다. 도 3에 니타낸 예에 있어서, SiO2 막은 대략 17 내지 19Å Ra의 조도를 갖는다. 따라서, 종래 PECVD SiO2 프로세스들은 하부의 막보다 비교적 더 거친 막들을 성막할 수 있음을 알게 된다.
평탄한 실리콘 이산화물막들을 성막하는 일부 다른 접근법들은 고밀도 플라즈마 화학기상증착 (HDP-CVD) 프로세스들을 채용한다. 그러나, HDP-CVD 프로세스들은 통상적으로 2 x 1010 ions/cm3 보다 큰 이온 밀도들을 채용한다. 이러한 높은 이온 밀도 성막 환경들은 SiO2 층이 성막될 때 하부의 막들을 비선택적으로 스퍼터할 수도 있다. 이는 수용할 수 없는 중간층 산화를 유도할 수도 있어서, 잠재적으로 막 계면들에서 전기적 결함들 또는 구조적 결합들을 유도한다. 또한, HDP-CVD 프로세스들은 통상적으로 유도 결합형 플라즈마 소스를 사용하며, 이는 비교적 더 비싸고 PECVD 프로세스들에서 사용되는 용량 결합형 플라즈마 소스에 비해 프로세스 동안 결함들을 생성하기가 비교적 더 쉽다. 게다가, HDP-CVD 프로세스 장비는 2 이상의 막 조성물을 인시튜로 성막하기에 적합하지 않을 수 있기 때문에, 프로세싱 동안 진공 파괴들이 요구될 수도 있어서, 중간층 결함들 및 부가 장비 비용의 포함을 잠재적으로 유도한다.
따라서, 다양한 실시형태들은 용량 결합형 플라즈마 소스들을 사용하여, 실리콘 산화물 (예를 들어, SiO2 및 그 서브 산화물들), 실리콘 산질화물 및 실리콘 질화물들과 같은 유전체막들, 및 다결정질 및 비정질 실리콘과 같은 도전막들을 포함하는, 초평탄 실리콘 함유막들을 성막하는 것과 관련하여 개시된다. 일부 실시형태들에 있어서, 초평탄 실리콘 함유막들의 교번층들은 프로세스 툴 (예를 들어, 성막 페이즈들 사이의 진공 파괴 및/또는 공기 노출 없이) 인시튜로 성막될 수 있다. 예시의 막 스택들은 실리콘 이산화물 및 실리콘 질화물의 교번층들, 다결정질 실리콘 및 실리콘 이산화물의 교번층들, 다결정질 실리콘 및 실리콘 질화물의 교번층들, 및 도핑된 및 도핑되지 않은 비정질 및/또는 다결정질 실리콘의 교번층들을 포함하지만, 이에 한정되지 않는다.
일 예로서, 도 4는 본 개시물의 초평탄 PECVD 프로세스의 일 예에 따라 성막된 실리콘 질화물막 및 초평탄 실리콘 이산화물막의 14개의 교번 쌍들을 갖는 일 예의 막 스택에 대한 AFM 이미지 (400) 를 나타낸다. 도 4에 나타낸 예에 있어서, 초평탄 PECVD SiO2 상부층은 대략 4.6Å Ra 의 조도를 가져서, 실리콘 질화물 표면들 상에 성막된 일부 초평탄 PECVD 실리콘 이산화물막들 (하기에서 더 상세하게 논의됨) 이 대략 3.6Å Ra 의 조도 값들을 나타내더라도, 도 2 및 도 3에 나타내고 상술한 종래 PECVD SiO2 프로세스들에 비해 2배 초과의 표면 조도 향상을 나타낸다. 또한, 상기 설명된 바와 같이, 베어 실리콘 기판 상에 성막된 1000Å 실리콘 질화물막의 조도는 5.1Å Ra 이다. 따라서, 일부 실시형태들에 있어서, 초평탄 PECVD SiO2 프로세스가 하부막의 조도보다 적은 상부 표면 조도를 제공할 수 있음을 알게 된다. 예를 들어, 일부 실시형태들에 있어서, 초평탄 PECVD SiO2 막은 하부막의 조도보다 대략 90% 이하인 절대 조도를 가질 수 있다.
이론에 의해 제한되기를 바라지 않으면서, 일부 실시형태들에 있어서, 초평탄 PECVD 막들의 초평탄 특성은 표면 흡수, 재배열 및/또는 어셈블리 반응들이 가스 상 (gas-phase) 중합화 및 흡수 반응들보다 더 많게 및/또는 실질적으로 더 빠른 레이트로 발생할 수 있는 조건들을 유발할 수 있다. 이러한 조건들 하에서, 플라즈마에서 발생되는 라디칼들은, 기판 위에서 가스 상으로 반응하는 것보다 기판 표면 상에 링크하고 기판으로 흡수되기에 비교적 더 쉬울 수 있다.
이로써, 본 개시물에 따른 초평탄 PECVD 프로세스는 실질적으로 막 두께와 관계없이 절대 조도를 갖는 막을 제공할 수 있다. 예를 들어, 일부 예들에 있어서, 초평탄 PECVD SiO2 막은 실리콘 기판 상에서 측정될 때, 3000Å 까지의 막 두께에 대해 4.5Å 이하의 표면 조도를 나타낼 수 있다. 예를 들어, 도 5는 종래의 실란계 PECVD 프로세스 예 (포인트들 502), 종래 TEOS계 PECVD 프로세스 예 (포인트들 504), 및 본 개시물에 따른 일 예의 초평탄 PECVD 프로세스에 의해 성막된 일 예의 초평탄 실리콘 이산화물막 (포인트들 506) 을 포함하는, 다양한 예의 프로세스들에 의해 베어 실리콘 기판들 상에 성막된 실리콘 이산화물막들의 막 두께의 함수로서 표면 절대 조도를 비교하는 그래프 (500) 를 나타낸다. 도 5에 나타낸 종래의 PECVD 프로세스들에 의해 제조된 예시의 막들은 표면 어셈블리 및 재배열 반응들 보다 더 빠른 레이트로 발생하는 가스 상 중합 반응들을 갖는 것으로서 특징화될 수 있다. 이로써, 포인트들 (502) 및 포인트들 (504) 은 막 두께가 증가함에 따라 절대 조도가 증가하는 쪽으로 향하고 있다. 대조적으로, 도 5에 나타낸 예시의 초평탄 PECVD 실리콘 이산화물막은 실질적으로 일정한 절대 조도를 가지면서 3000Å 까지의 막 두께에 대하여 대략 2.5Å Ra 의 표면 조도를 나타낸다.
또한, 도 5는 고밀도 플라즈마 화학기상증착 (HDP-CVD) 프로세스에 의해 성막된 예시의 실리콘 이산화물막들과 상기 논의된 초평탄 PECVD 성막된 실리콘 이산화물막 사이의 비교를 나타낸다. 도 5에 나타낸 바와 같이, 예시의 초평탄 PECVD 실리콘 이산화물막은 예시의 HDP-CVD 실리콘 이산화물막 (포인트들 508) 과 대략 동일한 절대 표면 조도를 갖는다. 그러나, 상기 설명된 바와 같이, HDP-CVD 프로세스들은 하부의 막들을 손상시킬 수도 있고 인시튜로 막 스택들을 생성할 수 없을 수도 있다. 대조적으로, 이하 상세하게 설명되는 바와 같이, 초평탄 PECVD 막들은 높은 이온 밀도들을 사용하지 않고 (예를 들어, 2 x 1010 ions/cm3 미만의 이온 밀도들로) 성막될 수 있고, 이에 따라 하부 막과 비교적 예리한 계면 조성물 바운더리를 유지할 수 있다. 또한, 초평탄 PECVD 막들은 다른 막 프로세스들에 의해 인시튜로 성막될 수 있어서, 막 스택을 축적할 때 진공 파괴들을 잠재적으로 회피할 수 있다.
또한, 도 5는 원자층증착 (ALD) 프로세스에 의해 성막된 일 예의 SiO2 막 (포인트들 510)과 상술한 초평탄 PECVD 막 예 사이의 비교를 나타낸다. 종래 PECVD 프로세스들과 마찬가지로, ALD 프로세스에 의해 성막된 예시의 막은 절대 조도에 있어서 두께 의존성 증가를 나타낸다. ALD 프로세스들이 이론상 한번에 모노층의 막을 성막하지만, 그렇지 않으면 분리된 성막 전구체들의 흡수에서의 차이가 응집된 상의 전구체 도메인들의 형성을 유도할 수도 있다 (예를 들어, 표면이 화학적 흡수 및 물리적 흡수된 전구체들 양자를 포함할 수도 있다). 이러한 도메인들은 막의 비화학양론적 영역의 생성을 유도할 수도 있으며, 이는 막에서의 격자 결함들 및 표면 조도를 야기할 수 있다. 연속 성막된 층들은 표면 조도의 영향을 확대할 수도 있다. 게다가, ALD에서 사용된 층 마다의 성막 프로세스는, 쓰루풋 코스트 및 장비 코스트 모두에서, PECVD 프로세스보다 비교적 비용이 더 클 수 있다.
대조적으로, 그리고 이론에 제한되는 것을 바라지 않으면서, 플래터 표면들의 비교적 낮은 표면 에너지들은 (예를 들어, 열역학적으로 안정한 종료된 표면의 네이티브 조도에 접근하는 표면들) 은, 표면 재배열 및 어셈블리 반응들을 통해, 성막된 막의 자기 평활화를 허용하는 구동력을 제공할 수도 있다. 이에 따라, 몇몇 실시형태들에 있어서, 비교적 더 거친 막 상에 성막되는 초평탄 PECVD 막은 여전히 초평탄 특성들을 나타낼 수 있다. 이는 막 스택이 비교적 더 거친 하부막들을 포함하더라도, 막 스택에 대해 매우 평탄한 표면을 제공할 수 있다.
예를 들어, 도 6은 대략 2.3Å/초의 성막 레이트를 갖는 예시의 초평탄 PECVD SiO2 막들을 포함하는 막 스택 (600) 의 일 실시형태를 개략적으로 나타낸다. 도 6에 나타낸 예는, 사이에 복수의 800Å 실리콘 질화물막들 (602) 이 끼워지는 복수의 1000Å 초평탄 PECVD SiO2 막들 (606) 및 복수의 300Å 초평탄 PECVD SiO2 막들 (604) 을 포함한다. 상부 표면 (610) 을 갖는 최종 800Å 실리콘 질화물막 (층 602A) 은 막 스택 (600) 의 상부에 성막된다. 도 7은 막 스택 (600) 의 상부에 성막된 초평탄 PECVD SiO2 막 (층 702) 의 상부층을 개략적으로 나타낸다.
도 8은 도 6에 개략적으로 도시된 막 스택 (600) 의 상부에서 노출되는 실리콘 질화물 표면 (610) 에 대한 AFM 이미지 (800) 를 나타낸다. AFM에 의해 측정된 바와 같이, 실리콘 질화물 표면 (610) 은 대략 6.9Å 의 절대 조도를 나타낸다. 비교의 목적으로, 초평탄 PECVD SiO2 막들에 대해 종래의 TEOS계 PECVD SiO2 막들이 치환되는 실리콘 이산화물 및 실리콘 질화물의 교번 층들의 동일한 개수를 갖는 막 스택 상에 성막된 800Å 실리콘 질화물막은, 대략 10Å 의 절대 조도를 갖는다. 이에 따라, 초평탄 PECVD SiO2 막은 TEOS계 초평탄 PECVD SiO2 막에 비해 상부에 놓인 실리콘 질화물의 상부에서 비교적 더 평탄한 표면을 나타낸다.
실리콘 질화물층의 상부에서의 후속 초평탄 PECVD SiO2 막 층의 성막은 실리콘 질화물층 조도에 비해 상부 표면 조도에서 부가적인 개선을 제공할 수 있다. 예를 들어, 도 9 및 도 10은 도 7에 개략적으로 도시된 초평탄 PECVD SiO2 막층 (702) 의 상부 표면 (701) 에 대한 AMF 이미지들 (900 및 1000) 을 각각 나타낸다. AFM에 의해 측정된 바와 같이, 초평탄 PECVD SiO2 상부 표면 (710) 은, 층 (702) 이 300Å 두께 (도 9에 도시된 바와 같음) 로 성막될 때, 대략 5.4Å의 절대 조도를 가져서, 하부의 실리콘 질화물막에 의해 나타났던 조도의 대략 80% 조도를 갖는다. 또한, 초평탄 PECVD SiO2 막들의 비교적 두꺼운 층들은 비교적 더 평탄한 상부 표면들을 제공할 수 있다. 예를 들어, 층 (702) 이 3000Å 두께로 성막될 때 (도 10에 나타낸 바와 같음), 대략 3.6Å의 절대 조도를 나타내어, 하부의 실리콘 질화물막에 의해 나타났던 조도의 대략 50% 조도를 갖는다. 대조적으로, 종래의 TEOS계 초평탄 PECVD SiO2 막들은 초평탄 PECVD SiO2 막들에 대해 치환될 때, 실리콘 질화물막에 의해 나타났던 것에 비해 표면 조도에서의 감소는 없다. 특히, 각각의 300Å 두께 및 3000Å 두께 TEOS계 PECVD SiO2 막은 대략 10Å의 절대 조도를 나타낸다.
상술한 바와 같이, 일부 실시형태들에 있어서, 초평탄 PECVD 막들은 초평탄 PECVD막의 두께가 증가함에 따라 감소하는 표면 조도를 나타낼 수 있다. 도 11 및 도 12는 이러한 실시형태의 다른 예를 도시한다. 도 11은 기판 (106) 상에 1000Å 두께의 종래 실란계 PECVD SiO2 막층 (1102) 을 포함하는 막 스택 (1100) 의 일 예를 개략적으로 나타낸다. 800Å 두께의 실리콘 질화물층 (1104) 은 층 (1102) 상부에 성막된다. 참고로, 층 (1104) 의 조도는 대략 16.3Å Ra 이다. 또한, 도 11은 일 예의 초평탄 PECVD SiO2 막층 (1106) 의 상부 표면 (1108) 을 나타내며, 층 (1106) 은 층 (1104) 의 상부에 성막된다. 도 12는 대략 2.3Å/초로 성막된 일 예의 초평탄 PECVD SiO2 막에 대한 표면 (1108) 의 조도와 층 (1106) 의 두께 사이의 일 예의 관계 (1202) 를 도시하는 그래프 (1200) 를 나타낸다. 도 12에 나타낸 바와 같이, 초평탄 PECVD SiO2 막은 대략 3000Å 까지의 초평탄 PECVD SiO2 막 두께에 대한 두께와 표면 조도 사이의 역관계를 나타낸다. 비교의 목적으로, 곡선들(1204 및 1206) 은 종래의 실란계 및 TEOS계 PECVD 프로세스들에 대한 두께와 표면 조도 사이의 역관계를 도시하지 않는다. 종래의 PECVD막들에 의해 개별적으로 나타나는 두께와 조도 사이의 직접적인 관계를 고려해 볼 때 (도 5에 도시됨), 도 12에 나타낸 데이터는 종래의 PECVD 막들과 달리, 일부 예시의 초평탄 PECVD 막들의 두께가 하부막의 표면 조도에 비해 막 스택의 표면을 비교적 감소시킬 수 있음을 제시한다.
일부 실시형태들에 있어서, 초평탄 PECVD막의 두께를 증가시키면, 도 13 및 도 14에 도시된 예들에 나타낸 바와 같이, 초평탄 PECVD막의 상부에 성막된 막의 조도를 감소시킬 수 있다. 도 13은 상부에 800Å 두께 실리콘 질화물층 (1304) 이 성막되어 있는, 예시의 초평탄 PECVD SiO2 막층 (1106) 을 포함하는 도 11의 막 스택 (1100) 을 개략적으로 나타낸다. 도 14는 층 (1106) 의 두께와 실리콘 질화물층 (1304) 의 표면 (1308) 의 조도 사이의 역관계 (1402) 를 도시하는 그래프 (1400)를 나타낸다. 예를 들어, 실리콘 질화물층 (1304) 하부에 놓인 1000Å 두께 초평탄 PECVD SiO2 막층 (1106) 에 대하여, 실리콘 질화물 표면 (1308) 은 대략 12.6Å의 절대 조도 또는 실리콘 질화물층 (1104) 층의 대략 77% 만큼 거친 절대 조도, 및 초평탄 PECVD SiO2 막층 (1106) 과 대략 동일한 조도를 나타낸다. 비교를 위해, 포인트들 (1404 및 1406) 은, 층 (1304) 의 대략 92% 및 89% 만큼 거친, 종래의 실란계 및 TEOS계 PECVD 프로세스들에 대한 두께 의존 조도 데이터를 각각 도시한다.
도 15는 초평탄 PECVD 실리콘 함유막을 성막하는 방법 (1500) 의 일 예의 실시형태를 도시하는 플로우 챠트를 나타낸다. 1502에서, 방법 (1500) 은 프로세스 스테이션에 반응물 가스 또는 반응물 가스 혼합물을 공급한다. 1504에서, 방법 (1500) 은 용량 결합형 플라즈마를 유지하여 반응물 가스 혼합물에 포함된 반응물 가스 및/또는 비활성 가스들의 라디칼들 및 활성종들 발생하는 것을 포함한다. 1506에서, 방법 (1500) 은 하기에서 좀더 상세하게 설명되는 바와 같이, 기판 표면 상에 막을 성막하면서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것을 포함한다. 예를 들어, 일부 실시형태들에 있어서, 막의 두께 증가에 따라 막의 절대 조도가 감소하도록, 막 성막 페이즈 동안 하나 이상의 프로세스 파라미터들이 제어될 수 있다. 일부 실시형태들의 다른 예에 있어서, 절대 조도가 미리 결정된 임계치 이하로 제어되도록, 막 성막 페이즈 동안 하나 이상의 프로세스 파라미터들이 제어될 수 있다. 일 시나리오에 있어서, 예를 들어, 굴절률이 대략 1.4 내지 2.1인 초평탄 PECVD 실리콘 함유막의 절대 조도는 베어 실리콘 기판 상에서 측정될 때 4.5Å 이하로 제어될 수 있다. 프로세스 파라미터 제어는 프로세스 툴 내에 포함된 임의의 적절한 제어기에 의해 수행될 수 있음을 알게 된다. 예시의 제어기들이 하기에서 더 상세하게 설명된다.
방법 (1500) 이 초평탄 PECVD막의 단일층을 성막하는 방법을 언급하지만, 일부 실시형태들에 있어서, 방법 (1500) 은 인시튜 막 스택 성막 프로세스의 초평탄 PECVD 막 성막 페이즈를 나타낼 수도 있음을 알게 된다. 따라서, 일부 실시형태들에 있어서, 방법 (1500) 의 적절한 수의 예들이 막 스택을 축적하기 위해 수행될 수 있다. 일 예에 있어서, 초평탄 PECVD 도핑되지 않은 실리콘막들 (하기에서 더 상세하게 논의됨) 의 층은 초평탄 교번의 도핑되지 않은 실리콘/도핑된 실리콘 막 스택을 축적하기 위해 초평탄 PECVD 도핑된 실리콘막들의 층들과 교번될 수 있다. 다른 예에 있어서, 초평탄 PECVD 도핑되지 않은 실리콘막들의 층들은 초평탄 PECVD 실리콘 이산화물막들의 층들과 교번될 수 있다. 이에 따라, 일부 실시형태들에서, 적절한 초평탄 PECVD 프로세스들이 막 스택에서 각 층을 성막하는데 사용될 수 있다. 일 시나리오에서, 예를 들어, 초평탄 실리콘 산화물의 층들은 초평탄 실리콘 질화물의 층들과 교번될 수 있다. 다른 시나리오에서, 초평탄 실리콘 산화물의 층들은 종래의 실리콘 질화물막의 층들과 교번될 수 있다.
대안으로, 다른 실시형태들에 있어서, 방법 (1500) 의 적절한 수의 예시들이, 하나의 이상의 적절한 간격들에서, 다른 적절한 성막 프로세스들 (PECVD, CVD 또는 ALD 프로세스들) 에 의해, 인시튜로 막 스택을 축적하기 위해 포함될 수 있다. 일 예에 있어서, 초평탄 PECVD 실리콘 이산화물막은 PECVD 실리콘 질화물막과 교번되어 도 4에 나타낸 것과 유사한 교번의 실리콘 이산화물/실리콘 질화물막의 스택을 형성할 수 있다. 다른 예에 있어서, 종래 성막된 막 스택은 초평탄 PECVD 막의 적절한 두께로 캡핑될 수 있다.
게다가, 일부 실시형태들에서는, 막 스택 성막 스킴을 조정하면, 수용가능한 상부 표면 조도를 또한 제공하면서, 인 시튜에 기초하여, 막 스택의 벌크 특성들 (예를 들어, 웨이퍼 보우) 을 조정하고, 일부 실시형태들에서는, 하부층들에 대해 보다 빠른 성막 레이트들을 실현하면서 초평탄 패터닝 표면들을 제공하는 접근법들을 제공할 수 있음을 알게 된다.
도 15를 계속해서, 하나 이상의 프로세스 파라미터들을 제어하여 막 표면의 절대 조도를 제어하는 접근들의 다양한 예들이 일 예의 초평탄 PECVD 실리콘 이산화물막 프로세스와 관련하여 하기에서 설명된다. 예를 들어, 초평탄 실리콘 이산화물막은 프로세스 툴의 하나 이상의 프로세스 스테이션들에서 실란 및 아산화질소 (N2O) 를 사용하여 성막될 수 있다. 일 예의 4-스테이션 프로세스 툴 (이하 더 상세하게 설명되는 일 실시형태) 을 사용하여 초평탄 PECVD 실리콘 이산화물막들을 성막하기 위한 프로세스 조건들의 한정이 아닌 예들이 [표 1]에 제공된다.
Figure 112013032119093-pct00001
일부 실시형태들에 있어서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것은, 1508에서, 프로세스 스테이션에 공급되는 실리콘 함유 반응물의 양의 적어도 150인 양으로 프로세스 스테이션에 프로세스 가스들을 공급하는 것을 포함한다. 예를 들어, 하나 이상의 공반응물들 및/또는 하나 이상의 희석제들은 실리콘 함유 반응물 농도의 적어도 150 배의 농도로 프로세스 스테이션에 공급될 수 있다. 이러한 실시형태들에 있어서, 공반응물을 과공급하면, 실리콘 라디칼들로 기울어지는 플라즈마를 생성하여 성막 레이트를 잠재적으로 감소시킨다. 성막 레이트를 임계 성막 레이트 미만으로 제어함으로써, 초평탄 PECVD 막이 생성될 수 있다.
예를 들어, 상술한 예시의 프로세스 파라미터들을 사용하여 성막된 실리콘 산화물막의 경우, N2O는 실란 유량의 양의 대략 5배 내지 실란 유량의 양의 대략 3000배로 공급될 수 있다. 다른 프로세스 파라미터들이 일정하게 유지된다고 가정하면, 더 많은 유량의 N2O는 실리콘 이산화물막의 성막 레이트를 10Å/초 미만으로 제어할 수 있다. 이러한 성막 레이트들은 적절한 레이트들에서 표면 재배열 및 어셈블리 반응들을 가져서, 실리콘 기판 상에서 측정될 때 3000Å 두께 이상까지의 막들에 대하여 4.5Å Ra 이하의 조도를 갖는 초평탄 실리콘 산화물을 제조할 수 있다.
이전에, 종래의 실란계 PECVD 실리콘 이산화물 성막 프로세스들에서 실란의 유량을 감소시키면, 실리콘 이산화물막 조도에서 유사한 감소를 초래할 수 없게 된다고 생각되었다. 대신, 실란 유량이 감소됨에 따라, 성막된 막의 표면이 더 거칠어지게 된다고 생각되었다. 도 16은 AFM에 의해서 측정될 때의 기판 조도들과 실란 유량 사이에 일 예의 관계 (1600) 를 나타낸다. 도 17은 도 16에 나타낸 관계 (1600) 에 대하여 실란 유량과 실리콘 이산화물막 성막 레이트 사이의 일 예의 관계 (1700) 를 나타낸다. 비교의 목적으로, 종래의 실란계 PECVD 프로세스에 의해 성막된 일 예의 막이 표시된다 (포인트들 1602 및 1702). 도 16 및 도 17은 종래의 실란계 PECVD 프로세스로부터 실란 유량 및 성막 레이트가 감소함에 따라 조도가 증가하는 것을 그래프로 도시한다.
그러나, 도 16의 최좌측 부분을 참조하면, 임계치 레벨 이하로 성막 레이트를 제어하면, 표면 조도가 실제로 감소할 수도 있으며, 이는 초평탄 실리콘 함유 표면들이 획득되는 것을 허용할 수 있음을 알고 있다. 이에 따라, 도 16 및 도 17은, 도시된 실시형태에서, 100 sccm 이하로 실란의 유량을 감소시키면 10Å/초 이하로 실리콘 이산화물 성막 레이트를 감소시켜서, 결국 성막되는 실리콘 이산화물막의 표면 조도를 감소시키는 것을 나타낸다. 도 16 및 도 17에 나타낸 바와 같이, 일부 실시형태들에 있어서, 6Å/초 미만의 실리콘 이산화물 성막 레이트를 제공하는 프로세스들은, 실리콘 기판 상에서 측정될 때, 3000Å 두께 이상까지의 막들에 대하여 4.5Å Ra 이하의 조도를 갖는 막들을 제조할 수 있다.
상기 서술한 예는 실란의 플로우 및/또는 농도를 제어함으로써 성막 레이트를 제어하는 것을 언급하지만, 이러한 효과들은 임의의 적절한 실리콘 함유 반응물의 플로우 및/또는 농도를 제어함으로써 달성될 수 있음을 알게 된다. 초평탄 실리콘 함유막들을 제조할 수 있는 적절한 실리콘 함유 반응물들의 한정이 아닌 예들은, 실란들 (예를 들어, 실란 및 디실란과 같은 SixHy), 할로겐 치환된 실란들 (예를 들어, SixCly), 및 알킬 치환된 실란들 (예를 들어, SixRy) 을 포함할 수 있다.
일부 실시형태들에 있어서, 다른 프로세스 가스들 (예를 들어, N2O, CO, 및 CO2 와 같은 공반응물, 및 질소, 아르곤 및 헬륨과 같은 비활성 성분) 의 플로우들 및/또는 농도들을 제어하는 것은, 임계치 양 미만으로 막의 성막 레이트를 제어하기 위해 사용될 수도 있다. 예를 들어, 도 18은 40 sccm의 일정한 100% SiH4 유량 (적절하게 희석된 실란 공급 소스들이 본 개시물의 범위를 벗어나지 않으면서 더 큰 유량을 가질 수 있음을 알게 되겠지만) 으로 성막되지만 상이한 전체 가스 유량들을 갖는 예시의 초평탄 PECVD SiO2 막들에 대한 프로세스 스테이션 압력과 성막 레이트 사이의 관계 (1800) 를 나타낸다. 구체적으로, 포인트들 (1802) 은, 실란을 제외한 모든 가스들의 유량들이 포인트들 (1804) 에 나타낸 예에 대한 대응 유량들의 절반인 일 예에 대한 성막 레이트 동향을 나타낸다. 실란의 농도를 효과적으로 증가시키더라도, 도 18에 나타낸 예에 있어서, 비활성 가스 및 비활성이 아닌 가스의 유량을 감소시키면, 포인트 (1804) 의 조건들에서 포인트 (1802) 의 조건들까지 0.3Å Ra (미도시) 까지 막 조도의 감소 및 성막 레이트의 감소를 유도한다. 다른 예에 있어서, N2O 에 대하여, 각각이 N2O에 대해 상이한 이온 횡단면을 가질 수 있는, CO 또는 CO2를 치환하면, 플라즈마에서의 산소 라디칼들의 농도를 감소시킬 수 있어서, 잠재적으로 실리콘 이산화물막의 성막 레이트를 감소시킨다.
상기 설명된 바와 같이, 일부 실시형태들에 있어서, 그리고 이론에 제한되는 것을 바라지 않으면서, 실란 플로우를 감소시키면 다양한 실란 라디칼 종들의 표면 이동 및 가교를 위한 부가적인 시간을 제공할 수 있다고 생각된다. 이러한 프로세스들을 위한 시간을 증가시키면 비교적 더 적은 결함들을 갖는 구조적으로 조밀한 격자들을 제공할 수 있어서, 잠재적으로 보다 평탄한 표면 토폴로지를 형성한다.
예를 들어, 도 19는 일 예의 실리콘 이산화물막의 막 스트레스, 성막 레이트 및 실란 유량 사이의 관계 (1900) 를 도시한다. 도 19에 나타낸 예에서 성막 레이트가 감소함에 따라, 막 스트레스는 비교적 더 압축되어, 막이 구조적으로 더 조밀해짐을 제시한다. 도 20은 대략 2.3Å/초로 성막된 일 예의 초평탄 PECVD SiO2막에 대한 막 스트레스, 기판 보우 및 막 두께 사이의 관계 (2000) 를 나타낸다. 도 20에 나타낸 예시의 막은 막 두께에 대한 기판 보우의 선형 의존도 (2002) 를 나타낸다. 또한, 도 20에 나타낸 예시의 막은 막 스트레스와 막 두께 사이의 비선형 관계 (2004) 를 나타낸다. 도 20에 나타낸 바와 같이, 예시의 막에 대한 막 스트레스는 성막의 제 1 1000Å 내에서 벌크 막 스트레스 레벨에 빠르게 접근한다. 이에 따라, 도 20에 나타낸 예시의 초평탄 PECVD 실리콘 이산화물막은 막이 성막될 때 구조적 안정성을 빠르게 달성할 수 있다.
다른 구조적인 분석들은, 표면 재배열 및 어셈블리 반응들을 위한 시간을 증가시키면 비교적 적은 결함들을 갖는 구조적으로 조밀한 격자들을 제공하여, 잠재적으로 더 평탄한 표면 토폴로지를 형성할 수 있다는 제안에 대하여 부가적인 지지를 제공한다. 예를 들어, 도 21은 일 예의 열적으로 성막된 SiO2막 (스펙트럼 2102)(종종 열 산화물이라 칭함), 대략 2.3Å/초의 성막 레이트를 갖는 일 예의 초평탄 PECVD SiO2막 (스펙트럼 2104), 및 일 예의 종래 TEOS계 PECVD SiO2막 (스펙트럼 2106) 에 대한 푸리에 변환 적외선 (FTIR) 스펙트럼들의 비교를 나타낸다. 도 21에 나타낸 바와 같이, 예시의 초평탄 PECVD막은 TEOS계 막이 열산화물의 구조 및 조성과 유사한 것보다 열 산화물의 구조 및 조성과 더 유사한 구조 및 조성을 갖는다. 예를 들어, 일 예의 초평탄 PECVD막에 대한 Si-O 결합 스트레치 모드의 피크 높이가 비교가능한 막 두께에 대하여 TEOS계 막에 대한 Si-O 결합 스트레치 모드의 피크 높이보다 더 높고 더 좁다. 이는 TEOS계 막에 비해 예시의 초평탄 PECVD막 내에서 결합 유형들의 비교적 더 좁은 분포가 있음을 제시할 수 있다. 또한, 도 21에 나타낸 초평탄 PECVD막에 대한 Si-O 결합 스트레치 모드의 포지션 (1071 cm-1) 은, TEOS 계 막에 대한 Si-O 결합 스트레치 모드 (1063 cm-1) 인 것보다 열 산화물에 대한 Si-O 결합 스트레치 모드 포지션 (1078 cm-1) 에 더 가깝다.
표면 재배열 및 어셈블리 반응들을 위한 시간을 증가시키면 비교적 더 적은 결함들을 갖는 구조적으로 조밀한 격자들을 제공할 수 있어서, 잠재적으로 더 평탄한 표면 토폴로지를 형성할 수 있다는 제안에 대한 추가적인 지지로서, 일부 초평탄 PECVD SiO2 막들의 습식 식각 특성들은 열 산화물의 특성들에 접근한다. 표 2는 묽은 플루오르화 수소산 배쓰 (100:1 H2O:HF) 에서 다양한 PECVD SiO2막들에 대한 습식 식각 비율 (열 산화물에 대해 1로서 정의된, WERR) 을 포함한다. 비교를 위해, 종래의 실란계 및 TEOS계 PECVD 프로세스들 및 종래 HDP-CVD 프로세스에 대한 WERR 데이터는 또한 표 2에 포함된다. 표 2에 나타낸 바와 같이, 수개의 초평탄 PECVD SiO2 막들에 대한 WERR 은 1.2 내지 2.0 이다.
Figure 112013032119093-pct00002
도 15로 돌아가면, 일부 실시형태들에 있어서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것은, 1510에서, 0.35W/in2 이상의 전력 밀도로 플라즈마를 발생하는 것을 포함할 수 있다. 일부 실시형태들에 있어서, 이러한 전력 밀도들은 250W 이상에서 동작되는 고주파 플라즈마 소스에 의해 발생될 수 있다. 본 명세서에서 사용된 바와 같이, "고주파 플라즈마" 는 13.56MHz 이상의 주파수에서 동작되는 플라즈마를 지칭한다. 부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 저주파 (예를 들어, 13.56MHz 아래의 주파수들) 전력 소스가 사용될 수 있다. 일부 다른 실시형태들에 있어서, 이중 주파수 플라즈마가 사용될 수 있다.
표 3은 실리콘 기판들 상에 다양한 고주파 (HF) 플라즈마 전력들을 사용하여 550℃ 에서 성막된 복수의 초평탄 PECVD SiO2 막들에 대한 토포그래피 데이터 및 예시의 실리콘 이산화물막 성막을 제공한다. 본 명세서에 개시된 예는 고주파 플라즈마를 지칭하지만, 본 개시물의 범위를 벗어나지 않으면서 임의의 적절한 플라즈마 및/또는 전력이 채용될 수 있음을 알게 된다.
Figure 112013032119093-pct00003
도 22는 표 3에 제공된 예시의 초평탄 PECVD 실리콘 이산화물막들에 대한 절대 조도와 고주파 플라즈마 전력 사이의 관계 (2200) 를 그래프로 도시한다. 도 22에 나타낸 바와 같이, 낮은 실란 유량들에 대응하는 것과 같은 낮은 성막 레이트들에서, 고주파 플라즈마의 전력을 증가시키면 초평탄 PECVD SiO2막들의 절대 조도를 감소시킬 수 있다. 도 22에 나타낸 예에 있어서, 일부 초평탄 PECVD 실리콘 이산화물막들의 표면 조도는, 실리콘 기판 상에서 측정될 때, 2.5Å 미만일 수 있다. 일반적인 실리콘 기판의 네이티브 표면 조도가 2.5Å에 접근할 때, 이는 이러한 막들이 자기 평활화할 수 있다는 것을 또한 제시할 수 있다.
부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 플라즈마 조건들은 플라즈마의 이온 밀도를 제어하기 위해 선택될 수 있다. 도 15에 계속해서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것은, 1512에서, 2 x 1010 ions/cm3 미만의 이온 밀도를 유지하는 것을 포함한다. 예를 들어, 초평탄 PECVD 실리콘 산화물막을 성막하기 위한 플라즈마는 250 내지 5000W 의 플라즈마 전력에서 그리고 0.5 내지 8torr 의 프로세스 스테이션 압력에서 공급될 수 있다. 일부 실시형태들에 있어서, 이러한 플라즈마 전력은 공유 플라즈마 발생기에 의해 전력이 공급되는 4개의 15인치 샤워 헤드들에서 대략 0.35W/in2 내지 7.1W/in2의 플라즈마 밀도를 발생할 수 있다. 이는 막스택 응용물들에서의 잠재적인 스퍼터 유도형 중간층 혼합을 회피할 수 있다.
도 15를 계속하여, 일부 실시형태들에 있어서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것은, 1514에서, 대략 8torr 이하의 프로세스 스테이션 압력에서 플라즈마를 발생시키는 것을 포함할 수 있다. 이러한 실시형태들에 있어서, 초평탄 특성은 임계치 레이트 이하의 레이트로 성막 레이트를 유지하면서 막에 대한 성막 레이트가 조절되면서 실질적으로 유지될 수 있다. 다른 방식으로 놓으면, 막의 성막 레이트는 성막된 막의 초평활 토포그래피를 실질적으로 변경하지 않고 프로세스 스테이션 압력을 변화시킴으로써 조절될 수 있다.
이에 따라, 표 4는 다양한 프로세스 스테이션 압력들을 사용하여 실리콘 기판들 상에 550℃에서 성막된 복수의 초평탄 PECVD SiO2막들에 대한 토포그래피 데이터 및 예시의 실리콘 이산화물막 성막을 나타낸다.
Figure 112013032119093-pct00004
도 23은 표 4의 예시의 데이터를 사용하여 프로세스 스테이션 압력에 대한 평활도의 의존성 (2300) 을 나타낸다. 도 23에 나타낸 바와 같이, 프로세스 스테이션의 압력을 감소시키면, 실리콘 기판 상에서 측정될 때 절대 조도가 3Å Ra 미만으로 여전히 유지될 수 있더라도, 예시의 초평탄 PECVD 실리콘 이산화물막들에 대한 표면 조도에서 약간의 증가와 연관될 수 있다. 도 23은 대략 4torr 에서의 최소 표면 조도에 나타낸 바와 같이, 도시된 예시의 프로세스 범위 동안, 표면 평활도가 프로세스 스테이션 압력과 비선형 관계를 가질 수 있다는 것을 나타낸다.
도 24는 표 4에 나타낸 예시의 막들에 대한 프로세스 스테이션 압력과 기판 내 범위 불균일성 사이의 비선형 관계를 도시하는 그래프 (2400) 를 나타낸다. 이에 따라, 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘 산화물막 특성이 8torr 이하의 프로세스 스테이션 압력에서 플라즈마를 발생함으로써 유지 및/또는 조절될 수 있음을 표 4와 도 23 및 도 24에 제공된 예시의 데이터로부터 알게 된다. 일 예에 있어서, 성막 레이트는 (실리콘 기판 상에 성막된 1000Å 막 상에서 측정될 때) 3Å 미만의 표면 조도를 유지하면서 6Å/초 초과로 증가될 수 있다. 다른 예에 있어서, 성막된 막의 기판 내 불균일성은 실리콘 기판 상에서 측정될 때 3Å 미만의 표면 조도를 유지하면서 3% 미만으로 감소될 수 있다.
다른 예에 있어서, 프로세스 스테이션으로의 비활성 가스의 양을 변화시킴으로서 막에 대한 성막 레이트가 조절되면서 초평활 특성이 실질적으로 유지될 수 있다. 이에 따라, 도 15를 계속하여, 일부 실시형태들에 있어서, 프로세스 파라미터를 제어하여 막 표면의 절대 조도를 제어하는 것은, 1516에서 플라즈마에 비활성 가스를 공급하는 것을 포함할 수 있다. 예를 들어, 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘 이산화물막의 성막 레이트를 조절하기 위해 플라즈마에 아르곤이 공급될 수 있다.
도 25는 실리콘 기판들 상에 550℃에서 성막된 예시의 초평탄 PECVD SiO2 막들에 대한 프로세스 스테이션으로의 아르곤 유량과 성막 레이트 사이의 관계 (2500) 을 나타낸다. 또한, 도 25는 프로세스 스테이션으로의 아르곤 유량과 SiO2 막 스트레스 사이의 관계 (2502) 를 나타낸다. 도 25에 나타낸 바와 같이, 프로세스 스테이션으로의 아르곤의 유량을 증가시킴으로써 성막 레이트가 증가될 수 있고 SiO2 막이 더 압축될 수 있다.
또한, 일부 실시형태들에 있어서, 프로세스 스테이션으로 비활성 가스를 공급하면 막의 표면 조도를 실질적으로 저해하지 않으면서 성막 레이트를 조절할 수 있다. 예를 들어, 도 26은 도 25에 나타낸 예시의 초평탄 PECVD SiO2 막에 대한 아르곤 유량과 절대 조도 사이의 대략 일정한 관계 (2600) 를 나타낸다. 이에 따라, 도 25 및 도 26에 나타낸 예들로부터, 일부 실시형태들에 있어서, 표면 조도에서의 증가를 야기하지 않으면서 프로세스 스테이션으로의 아르곤의 유량을 증가시킴으로써 초평탄 PECVD 막의 성막 레이트가 증가될 수 있음을 알게 된다. 일부 실시형태들에 있어서, 질소 및 헬륨과 같은 다른 적절한 비활성 가스들의 유량을 변화시키면 유사한 효과를 가질 수 있음을 알게 된다.
반응물 및 비활성 성분 공급 레이트, 플라즈마 전력, 이온 밀도 및 프로세스 스테이션 압력과 같은, 하나 이상의 프로세스 파라미터들의 제어를 통한 표면 평활도의 제어는, 독립적으로 또는 가변성의 임의의 다른 적절한 프로세스와의 조합으로 관리될 수 있음을 알게 된다. 예를 들어, 일부 실시형태들에 있어서, 이온 충격 (예를 들어, 플라즈마에 인가된 DC 바이어스 소스로부터 또는 저주파 플라즈마 소스들로부터) 은 적절한 초평탄 실리콘 함유막을 제공할 수 있다. 다른 예에 있어서, 초평탄 PECVD막은 400℃ 이상의 온도에서 성막될 수 있다. 일 시나리오에서, 초평탄 PECVD 실리콘 이산화물막은 550℃ 에서 성막될 수 있다. 이러한 막들은 400℃ 미만에서 성막된 막들보다 비교적 더 낮은 수소 농도들을 가지면서 본 명세서에서 설명된 초평활 표면들을 나타낼 수 있다. 또한, 이러한 막들은 후속 어닐링 단계의 보조 없이 기판 보우를 유지할 수 있다. 이러한 막들은, 막 스택으로 패턴이 전사되는 후속 리소그라피 단계 동안, 초평활하고 매우 평탄한 표면들을 나타낼 수 있다. 다른 프로세스 변수들의 한정이 아닌 예들은, 프로세스 스테이션 온도, 플라즈마 점화 시퀀싱, 플라즈마 소멸 시퀀싱 및 성막 기판 표면과 프로세스 가스 분포 샤워 헤드 사이의 스페이싱을 포함한다. 예를 들어, 일 시나리오에서, 고주파 플라즈마는 프로세스 스테이션으로 실란이 도입되기 전에 점화될 수 있다. 이는 성막의 시작 전에 성막을 위한 기판 표면을 컨디션할 수 있어서, 결국 표면 아일랜드들 또는 도메인들의 형성을 감소시킬 수 있다. 다른 시나리오에 있어서, 고주파 플라즈마는 성막 후 실란의 유량이 정지된 후 소멸될 수 있어서, 프로세스 스테이션에서 잔류 실란 분자들을 소모한다.
상기 예들은 초평탄 PECVD 실리콘 이산화물 막들의 성막과 관련되지만, 본 명세서에서 설명된 실시형태들에 따라 임의의 적절한 실리콘 함유막이 성막될 수 있음을 알게 된다. 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘 질화물막들은 암모니아 및 실란의 플라즈마 활성화 반응에 의해 성막될 수 있다. 또한, 일부 실시형태들에 있어서, 적절한 실리콘 산질화물 막들이 질소 플라즈마의 존재에서 N2O 및 실란의 플라즈마 활성화에 의해 성막될 수 있다. 다른 적절한 질소 함유 반응물들은 히드라진 및 질소/헬륨 가스 혼합물들을 포함하지만, 이에 한정되지 않는다.
표 5는 굴절률이 대략 1.4 내지 대략 2.1의 범위인 다양한 초평탄 실리콘 질화물 함유막들에 대한 조도, 굴절률, 및 막 스트레스 데이터를 요약한다. 표 5에 제시된 막 데이터는 실리콘 기판들 상에 성막된 1000Å 막들로부터 측정되었으며, 각각의 막은, 각각의 막 레시피에 대하여, 일정한 실란 유량, 질소 유량 (대략 5000 sccm), 헬륨 유량 (대략 8000 sccm), 압력 (대략 5 torr) 및 고주파 플라즈마 전력 (대략 4500W) 에서, 질소 및 헬륨 플라즈마에 아산화질소 또는 암모니아의 표시된 양들을 공급함으로써 생성되었다. 표 5에 표시된 바와 같이, 플라즈마에 공급된 아산화질소의 유량을 감소시키면 (레시피 A-G에 나타냄), 대략 3.1Å 미만의 절대 조도, 그리고 많은 경우들에 있어서 대략 2.7Å 미만의 절대 조도를 나타내는 실리콘 산화물 및 실리콘 산질화물막들의 넓은 범위를 제공할 수 있다.
Figure 112013032119093-pct00005
도 27은 표 5에 제시된 예시의 초평탄 PECVD 실리콘 산화물 및 산질화물 막들에 대한 Si-O 결합 스트레칭 모드 데이터를 나타내는 푸리에 변환 적외선 스펙트럼들을 도시하는 그래프 (2700) 를 나타낸다. 도 27에 나타낸 FTIR 측정치들은 프로세스 스테이션에서의 아산화질소의 농도가 감소됨에 따른 Si-O 결합 스트레치 피크 포지션에서의 트랜지션을 나타낸다.
또한, 표 5에 제시된 측정치들은 적절한 유량에서 아산화질소에 대해 암모니아를 치환함으로써 대략 4Å 이하의 절대 조도 값들을 갖는 초평탄 PECVD 실리콘 질화물막들이 성막될 수 있음을 도시한다. 표 5에 제시된 데이터는 막 조도와 막 스트레스 그리고 암모니아 농도 사이의 역관계를 제시한다. 비교를 위해, 종래의 PECVD 실리콘 질화물 프로세스에 대한 막 및 레시피 파라미터들이 표 5에 또한 제공된다. 도 28 내지 도 30은 표 5에 제시된 예시의 초평탄 PECVD 실리콘 질화물 및 종래의 PECVD 실리콘 질화물막들에 대하여, Si-N, N-H 및 Si-H 결합 스트레칭 모드들을 각각 나타내는, FTIR 스펙트럼 (2800, 2900 및 3000) 의 비교들을 도시한다. 도 28 및 도 29에 나타낸 바와 같이, 암모니아 농도를 증가시키면 종래의 막의 Si-N 피크 포지션으로부터 멀리 Si-N 피크 포지션을 이동시키기 쉽고 N-H 피크의 면적을 증가시키기 쉽다. 이는 막에서의 부가적인 수소 결합을 제시할 수도 있고 표 5에 포함된 스트레스 데이터에 의해 지지되는 바와 같이, 막의 막 스트레스 특성들을 조정하기 위한 접근을 제공할 수도 있다. 그러나, 도 30은, 종래 PECVD막과 달리 초평탄 PECVD 실리콘 질화물막들에 대해 Si-H 결합 스트레칭 모드가 없음을 나타낸다. 이는 종래 PECVD 실리콘 질화물에 비해 막에 대한 브레이크다운 전압 특성들에서의 상대적인 개선을 제공할 수 있다. 따라서, 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘 질화물막의 벌크 막 스트레스 특성들은 막의 전기적 특성들을 보전하면서 조정될 수 있음을 알게 된다.
다른 예에 있어서, 초평탄 PECVD 실리콘막 (예를 들어, 비정질 실리콘막, 도핑되지 않은 다결정질 또는 도핑된 다결정질 막) 은, 적절한 도펀트 전구체 (예를 들어, 붕소 함유 전구체, 비소 함유 전구체, 및/또는 인 함유 전구체) 의 존재에서, 도핑된 다결정질 실리콘이 성막되는 경우, 및 헬륨, 아르곤 및/또는 수소 플라즈마의 존재에서와 같은 적절한 환원 환경에서 또는 실란 단독의 플라즈마 분해로부터 성막될 수 있다. 또한, 이러한 초평탄 PECVD 실리콘막들은 인 시튜로 막 스택들을 구성하기 위해 사용될 수 있고, 자기 평활화 특성들을 또한 나타낼 수 있다. 게다가, 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘 프로세스들은 표면 재배열을 촉진시키고 잠재적으로 표면 평활성을 강화시키기 위해 DC 바이어스 또는 다른 적절한 이온 충격 접근을 부가할 수 있다. 일 예의 4 스테이션 프로세스 툴 (이하 상세하게 설명됨) 을 사용하여 초평탄 PECVD 도핑되지 않은 실리콘 막들을 성막하기 위한 한정이 아닌 예시의 프로세스 파라미터들은 표 6에 나타낸다.
Figure 112013032119093-pct00006
또한, 일부 실시형태들에 있어서, 초평탄 PECVD 실리콘막은 성막 동안 또는 포스트 성막 처리 페이즈에서 적절한 도펀트를 공급함으로써 도핑될 수 있다. 도펀트들의 한정이 아닌 예들은, 비소, 붕소 및 인을 포함한다. 일부 실시형태들에 있어서, 도핑된 실리콘막은 인시튜로 적절한 열적 어닐링에 의해 활성화될 수 있다. 예를 들어, 550℃에서 성막된 초평탄 PECVD 붕소 도핑된 실리콘막은 진공 파괴 없이 650℃ 에서 어닐링될 수 있다. 일부 예들에 있어서, 도핑된 막의 어닐링은 막의 저항을 더 낮추고, 막 및 막 스택 내의 전도성을 개선하며, 및/또는 필요한 도펀트의 양을 감소시켜 선택된 막 전도성을 제공한다.
본 명세서에서 설명된 방법들은 반사방지층 (ARL) 을 제조하기 위해 사용될 수 있다. 일 실시형태는 반사방지층을 제조하기 위해 사용된, 본 명세서에서 설명된 바와 같은 방법이다. 본 명세서에서 설명된 방법들을 사용하여 제조된 반사방지층들은 매우 평활하여, 예를 들어 막이 패터닝된 후 이른바 라인 에지 조도를 감소시키고 또한 산란&확산 반사를 최소화하며, 적절히 높은 굴절률 (RI) 예를 들어 약 1.4 내지 약 2.1 @ 633nm, 약 1.5 내지 약 2.4 @ 248nm, 및 약 1.6 내지 약 2.7 @ 193nm 을 갖는다.
종래의 ARL 제조 방법들은 충분한 평활도를 제공하지도 않고 굴절률 제어도 제공하지 않는다. 본 명세서에서 설명된 방법들은 막의 굴절률을 감소시키지 않으면서 이러한 평활도를 갖는 막들을 형성한다. 일 실시형태에 있어서, 실리콘 함유 반응물은 하나 이상의 공반응물과 함께 사용된다. 일 실시형태에 있어서, 실리콘 함유 반응물은 SiH4이고, 하나 이상의 공 반응물들은 CO2 (굴절률 레벨을 유지하는데 있어서 보조하기 위해) 및 NH3 (막 표면 평활성을 획득하는데 있어서 보조하기 위해) 를 포함한다. 소정 실시형태들에 있어서, N2O는 CO2에 대해 대안으로서 사용되거나 CO2와 조합으로 사용된다.
소정 실시형태들에 있어서, NH3 와 함께 또는 NH3 없이, N2O 및/또는 CO2 공반응물들과 SiH4 시약이, 예를 들어 수직 메모리 응용물들에 적합한, 낮은 굴절률, 예를 들어 1.4 - 2.1 을 갖는 평탄한 유전체들을 제조하기 위해 사용된다. 이러한 막들에 대하여, 막 스트레스 제어는 중요한 목적인데, 이는 많은 유전체 층들로 이루어진 스택이 사용되기 때문이다. 그러나, 일반적으로, 필수는 아니지만, 높은 굴절율을 유지하면 이러한 막들에 의한 문제가 없다.
본 명세서에서 설명된 방법들은 적절한 장치에 의해 수행될 수 있다. 적절한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시형태들에 있어서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 스테이션들을 포함할 수 있다.
일반적으로, 시스템 제어기는 하나 이상의 메모리 디바이스들 및 장치가 본 개시물에 따른 방법을 수행하게 되도록 프로세스 동작들을 제어하기 위한 명령들을 실행하도록 구성된 하나 이상의 프로세서들을 포함하게 된다. 예를 들어, 일부 실시형태들에 있어서, 시스템 제어기는 다양한 밸브들, 온도 제어기들, 플라즈마 제어기들 및 압력 제어기들을 동작시켜 장치 내의 프로세스 조건들을 조정할 수 있다. 일부 실시형태들에 있어서, 본 개시물에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신 판독가능 매체가 시스템 제어기에 커플링될 수 있다.
예를 들어, 도 31은 프로세스 스테이션 (3100) 의 일 예의 실시형태를 개략적으로 나타낸다. 간략화를 위해, 프로세스 스테이션 (3100) 은 저압 환경을 유지하기 위한 프로세스 챔버 바디 (3172) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (3100) 이 통상의 저압 프로세스 툴 환경에 포함될 수 있음을 알게 된다. 프로세스 스테이션 (3100) 은, 프로세스 스테이션 (3100) 으로의 전달을 위해, 비활성 가스들, 전구체들, 반응물들 및 처리 반응물들과 같은 프로세스 가스들을 제공하는 프로세스 가스 전달 라인 (3174) 를 포함한다. 도 31에 나타낸 예에 있어서, 샤워 헤드 (3178) 가 프로세스 스테이션 (3100) 내에 프로세스 가스들을 분산시키기 위해 포함된다. 기판 (3186) 은 샤워 헤드 (3178) 아래에 위치되고, 페데스탈 (3182) 에 의해 지지되는 홀더 (3180) 상에 놓여있는 것을 나타낸다. 일부 실시형태들에 있어서, 페데스탈 (3182) 은 수직축 주위를 회전하도록 구성될 수 있다. 부가적으로 또는 대안으로, 페데스탈 (3182) 은 수평으로 및/또는 수직으로 옮겨지도록 구성될 수 있다.
일부 실시형태들에 있어서, 샤워 헤드 (3178) 는 복수의 세트의 가스 분배 홀들을 갖는 듀얼 플래늄 또는 멀티 플래늄 샤워 헤드일 수 있다. 예를 들어, 제 1 세트의 가스 분배 홀들은 제 1 프로세스 가스 전달 라인으로부터 가스를 수용할 수 있고, 제 2 세트의 가스 분배 홀들은 제 2 프로세스 가스 전달 라인으로부터 가스를 수용할 수 있다. 이러한 프로세스 가스들의 물리적 격리는 샤워 헤드 (3178) 의 프로세스 가스 전달 배관 업스트림에서 양립할 수 없는 프로세스의 반응으로부터 발생되는 작은 파티클을 감소시키는 접근을 제공할 수 있다.
샤워 헤드 (3178) 및 홀더 (3180) 는 플라즈마 (3192) 에 전력을 공급하기 위해 매칭 네트워크 (3190) 및 RF 전력 공급기 (3188) 와 전기적으로 통신한다. 플라즈마 (3192) 는 샤워 헤드 (3178) 및 홀더 (3180) 에 인접하여 위치된 플라즈마 시스 (3194) 에 의해 포함될 수 있다. 도 31이 용량 결합형 플라즈마를 도시하지만, 플라즈마 (3192) 는 임의의 적절한 플라즈마 소스에 의해 발생될 수 있다. 한정이 아닌 일 예에 있어서, 플라즈마 (3192) 는 평행판 플라즈마 소스를 포함할 수 있다.
도 31에 나타낸 실시형태에 있어서, RF 전력 공급기 (3188) 는 임의의 적절한 주파수의 RF 전력을 제공할 수 있다. 일부 실시형태들에 있어서, RF 전력 공급기 (3188) 는 고주파 RF 전력 소스 및 저주파 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수 있다. 저주파 RF 전력들의 예는, 200kHz 내지 2000kHz 의 주파수들을 포함할 수 있지만, 이에 한정되지 않는다. 예시의 고주파 RF 전력들은 13.56 MHz 내지 80MHz 의 주파수들을 포함할 수 있지만, 이에 한정되지 않는다. 마찬가지로, RF 전력 공급기 (3188) 및 매칭 네트워크 (3190) 는 플라즈마 (3192) 를 형성하도록 임의의 적절한 전력에서 동작될 수 있다. 적절한 전력들의 예들은, 4개의 15인치 샤워 헤드들을 포함하는 4-스테이션 멀티 프로세스에 대하여, 고주파 플라즈마에 대한 250W 내지 5000W의 전력들 및 저주파 플라즈마에 대한 0W 내지 2500W의 전력들을 포함하지만, 이에 한정되지 않는다. RF 전력 공급기 (3188) 는 임의의 적정한 듀티 사이클로 동작될 수 있다. 적절한 듀티 사이클의 예들은, 5% 내지 90% 의 듀티 사이클을 포함하지만, 이에 한정되지 않는다.
일부 실시형태들에 있어서, 홀더 (3180) 는 히터 (3184) 를 통해 온도 제어될 수 있다. 또한, 일부 실시형태들에 있어서, 프로세스 스테이션 (3100) 에 대한 압력 제어는 버터플라이 밸브 (3196) 또는 임의의 다른 적절한 압력 제어 디바이스에 의해 제공될 수 있다. 도 31에 나타낸 바와 같이, 버터플라이 밸브 (3196) 는 프로세스 스테이션 배출 라인 (3198) 에 유체 커플링된 진공 펌프 (미도시) 에 의해 제공된 진공을 조절 (throttle) 한다. 그러나, 일부 실시형태들에 있어서, 프로세스 스테이션 (3100) 의 압력 제어는 프로세스 스테이션 (3100) 으로 도입되는 하나 이상의 가스들의 유량을 변화시킴으로써 또한 조절될 수도 있다.
하나 이상의 프로세스 파라미터들의 제어는 국부적으로 (예를 들어, RF 전력은 RF 전력 공급기 (3188) 와 통신하는 플라즈마 제어기에 의해 제어될 수 있고, 프로세스 스테이션 압력은 버터플라이 밸브 (3196) 또는 가스 미터링 밸브들과 통신하는 밸브 제어기 또는 프로세스 가스 전달 라인 (3174) 과 커플링된 포함된 플로우 제어기들에 의해 제어될 수 있음) 또는 본 개시물의 범위로부터 벗어나지 않으면서 프로세스 스테이션 (3100) 과 통신하는 시스템 제어기 (하기에 더 상세하게 기재됨) 에 의해 제공된 부분 또는 전체 제어하에서 제공될 수 있음을 알게 된다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들은 다중 스테이션 프로세스 툴에 포함될 수 있다. 다중 스테이션 프로세스 툴의 일부 실시형태들에 있어서, 다양한 프로세스 입력들 (예를 들어, 프로세스 가스들, 플라즈마 전력, 히터 전력 등) 의 제어 및/또는 공급은 공유 소스들로부터 프로세스 툴에 포함된 복수의 프로세스 스테이션들로 분배될 수 있다. 예를 들어, 일부 실시형태들에 있어서, 공유 플라즈마 발생기는 2 이상의 프로세스 스테이션들에 플라즈마 전력을 공급할 수 있다. 다른 예에 있어서, 공유 가스 분배 매니폴드는 2 이상의 프로세스 스테이션들에 프로세스 가스들을 공급할 수 있다.
예를 들어, 도 32는 저압 환경에서 복수의 프로세싱 스테이션들 (3262) 을 포함하는 일 예의 프로세스 툴 (3200) 을 개략적으로 나타낸다. 각각의 프로세싱 스테이션 (3262) 은 초평탄 PECVD 실란계 실리콘 이산화물 및 실란계 실리콘 질화물을 성막하도록 구성된다. 각각의 프로세싱 스테이션 (3262) 에는, 각각의 프로세싱 스테이션 (3262) 으로 전달하기 전에, 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위해 공통 혼합 용기 (3264) 가 공급된다.
도 33은 인바운드 로드록 (3302) 및 아웃바운드 로드록 (3304) 을 갖는 다른 다중 스테이션 프로세싱 툴 (3300) 의 일 실시형태의 개략도를 나타낸다. 로봇 (3306) 은, 대기 압력에서, 포드 (3308) 를 통해 로딩되는 카세트로부터 대기 포트 (3310) 를 통해 인바운드 로드록 (3302) 으로 기판들을 이동하도록 구성된다. 인바운드 로드록 (3302) 은 진공 소스 (미도시) 에 커플링되어, 대기 포트 (3310) 가 폐쇄될 때, 인바운드 로드록 (3302) 이 펌프 다운될 수 있다. 또한, 인바운드 로드록 (3302) 은 프로세싱 챔버 (3314) 와 인터페이스되는 챔버 이송 포트 (3316) 를 포함한다. 이에 따라, 챔버 이송 포트 (3316) 가 개방될 때, 다른 로봇 (미도시) 이 인바운드 로드록 (3302) 으로부터 프로세싱을 위해 제 1 프로세스 스테이션의 페데스탈로 기판을 이동할 수 있다.
일부 실시형태들에 있어서, 인바운드 로드록 (3302) 은 로드록에 플라즈마를 공급하도록 구성된 리모트 플라즈마 소스 (미도시) 에 접속될 수 있다. 이것은 인바운드 로드록 (3302) 에 위치된 기판에 리모트 플라즈마 처리들을 제공할 수 있다. 부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 인바운드 로드록 (3302) 은 기판을 가열하도록 구성된 히터 (미도시) 를 포함할 수 있다. 이것은 인바운드 로드록 (3302) 에 위치된 기판 상에 흡수된 수분 및 가스들을 제거할 수 있다. 도 33에 도시된 실시형태는 로드록들을 포함하지만, 일부 실시형태들에 있어서, 프로세스 스테이션으로의 기판의 직접적인 진입이 제공될 수 있다.
도시된 프로세싱 챔버 (3314) 는 도 33에 나타낸 실시형태에서 1 내지 4로 넘버링된 4개의 프로세스 스테이션들을 포함한다. 일부 실시형태들에 있어서, 프로세싱 챔버 (3314) 는 저압 환경을 유지하도록 구성될 수 있어서, 기판들이 진공 파괴 및/또는 공기 노출을 경험하지 않으면서 프로세스 스테이션들 사이에서 전달될 수 있다. 도 33에 도시된 각각의 프로세스 스테이션은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 3318로 도시됨) 및 프로세스 가스 전달 라인 인렛들을 포함한다. 일부 실시형태들에 있어서, 하나 이상의 프로세스 스테이션 기판 홀더들 (3318) 은 가열될 수 있다.
일부 실시형태들에 있어서, 각각의 프로세스 스테이션은 상이하거나 복수의 목적들을 가질 수 있다. 예를 들어, 프로세스 스테이션은 초평탄 PECVD 프로세스 모드와 종래의 PECVD 또는 CVD 모드 사이에서 전환 가능할 수 있다. 부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 프로세싱 챔버 (3314) 는 초평탄 PECVD 및 종래의 PECVD 스테이션들의 하나 이상의 매칭된 쌍들 (예를 들어, 초평탄 PECVD SiO2 스테이션 및 종래의 PECVD SiN 스테이션) 을 포함할 수 있다. 다른 예에 있어서, 프로세스 스테이션은 2 이상의 막 유형들 사이에서 전환 가능할 수 있어서, 상이한 막 유형들의 스택들이 동일한 프로세스 챔버 내에서 성막될 수 있다.
도시된 프로세싱 챔버 (3314) 는 4개의 스테이션들을 포함하지만, 본 개시물에 따른 프로세싱 챔버가 임의의 적절한 수의 스테이션들을 가질 수 있음을 이해하게 된다. 예를 들어, 일부 실시형태들에 있어서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수 있지만, 다른 실시형태들에서 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수 있다.
또한, 도 33은 프로세싱 챔버 (3314) 내에서 기판들을 전달하기 위한 기판 핸들링 시스템 (3390) 의 일 실시형태를 도시한다. 일부 실시형태들에 있어서, 기판 핸들링 시스템 (3390) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 기판들을 전달하도록 구성될 수 있다. 임의의 적절한 기판 핸들링 시스템이 채용될 수 있음을 알게 된다. 한정이 아닌 예들은 기판 캐러셀들 (carousels) 및 기판 핸들링 로봇들을 포함한다.
일부 실시형태들에 있어서, 저압 전달 챔버가 복수의 프로세싱 챔버들 사이에서의 전달을 용이하게 하기 위해 다중 스테이션 프로세싱 툴에 포함될 수 있다. 예를 들어, 도 34는 다중 스테이션 프로세싱 툴 (3400) 의 다른 실시형태를 개략적으로 나타낸다. 도 34에 나타낸 실시형태에 있어서, 다중 스테이션 프로세싱 툴 (3400) 은 복수의 프로세스 스테이션들 (1 내지 4로 넘버링됨) 을 포함하는 복수의 프로세싱 챔버들 (3314) 를 포함한다. 프로세싱 챔버 (3314) 는 프로세싱 챔버들 (3314) 과 로드록 (3408) 사이에서 기판들을 이송하도록 구성된 로봇 (3406) 을 포함하는 저압 전달 챔버 (3404) 와 인터페이스된다. 대기 로봇 (3412) 을 포함하는 대기 기판 전달 모듈 (3410) 은 로드 록 (3408) 과 포드 (3308) 사이에서 기판들의 전달을 용이하게 하도록 구성된다.
도 33으로 돌아가면, 다중 스테이션 프로세싱 툴 (3300) 은 프로세싱 툴 (3300) 의 하드웨어 상태들 및 프로세스 조건들을 제어하기 위해 채용된 시스템 제어기 (3350) 의 일 실시형태를 또한 포함한다. 예를 들어, 일부 실시형태들에 있어서, 시스템 제어기 (3350) 는 초평탄 PECVD 막 성막 페이즈 동안, 하나 이상의 프로세스 파라미터들을 제어하여 막 표면의 절대 조도를 제어할 수 있다. 도 34에 나타내지는 않았지만, 다중 스테이션 프로세싱 툴 (3400) 의 실시형태는 도 33에 나타낸 시스템 제어기 (3350) 의 실시형태와 같은 적절한 시스템 제어기를 포함할 수 있음을 알게 된다.
시스템 제어기 (3350) 는 하나 이상의 메모리 디바이스들 (3356), 하나 이상의 대용량 저장 디바이스들 (3354), 및 하나 이상의 프로세서들 (3352) 을 포함할 수 있다. 프로세서 (3352) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스텝퍼 모토 제어기 보드들 등을 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (3350) 는 프로세싱 툴 (3300) 의 모든 동작들을 제어한다. 시스템 제어기 (3350) 는 대용량 저장 디바이스 (3354) 에 저장되고, 메모리 디바이스 (3356) 로 로딩되며 프로세서 (3352) 상에서 실행되는 머신 판독가능 시스템 제어 소프트웨어 (3358) 를 실행한다. 시스템 제어 소프트웨어 (3358) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 포지션, 및 프로세싱 툴 (3300) 에 의해 수행되는 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수 있다. 시스템 제어 소프트웨어 (3358) 는 임의의 적절한 방식으로 구성될 수 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 프로세스 툴 컴포넌트들의 동작을 제어하도록 기록될 수 있다. 시스템 제어 소프트웨어 (3358) 는 임의의 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수 있다.
일부 실시형태들에 있어서, 시스템 제어 소프트웨어 (3358) 는 상술한 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수 있다. 예를 들어, 초평탄 PECVD 프로세스의 각 페이즈는 시스템 제어기 (3350) 에 의해 실행을 위한 하나 이상의 명령들을 포함할 수 있다. 초평탄 PECVD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 명령들이 대응하는 초평탄 PECVD 레시피 페이즈에 포함될 수 있다. 일부 실시형태들에 있어서, 초평탄 PECVD 레시피 페이즈들은 순차적으로 배열되어서, 초평탄 PECVD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행된다.
시스템 제어기 (3350) 와 연관된 대용량 저장 디바이스 (3354) 및/또는 메모리 디바이스 (3356) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시형태들에 채용될 수 있다. 이러한 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 프로세스 스테이션 기판 홀더 (3318) 상으로 기판을 로딩하기 위해 그리고 기판과 프로세싱 툴 (3300) 의 다른 부분들 사이의 스페이싱을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 유량을 제어하고, 옵션으로 프로세스 스테이션에서의 압력을 안정화하기 위해 성막 전에 하나 이상의 프로세스 스테이션들로 가스를 플로우 하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은, 예를 들어 프로세스 스테이션의 배출 시스템에서의 스로틀 (throttle) 밸브, 프로세스 스테이션으로의 가스 플로우 등을 조절함으로써 프로세스 스테이션에서의 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 대안으로, 히터 제어 프로그램은 기판으로의 열 전달 가스 (예컨대, 헬륨) 의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (3350) 과 연관된 사용자 인터페이스가 있을 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이, 및 포인팅 디바이스, 키보드, 터치 스크린, 마이크로폰과 같은 사용자 입력 디바이스들을 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (3350) 에 의해 조정되는 파라미터들은 프로세스 조건들과 관련될 수 있다. 한정이 아닌 예들은 프로세스 가스 조성 및 유량들, 온도, 압력, 플라즈마 조건들 (예컨대, RF 바이어스 전력 레벨들), 압력, 온도 등을 포함한다. 이러한 파라미터들은, 사용자 인터페이스를 사용하여 입력될 수 있는 레시피의 형태로 사용자에게 제공될 수 있다.
프로세스를 모니터링하는 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (3350) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수 있다. 프로세스를 제어하는 신호들은 프로세싱 툴 (3300) 의 아날로그 및 디지털 출력 접속들 상으로 출력될 수 있다. 모니터링될 수 있는 프로세스 툴 센서들의 한정이 아닌 예들은 질량 유량 제어기들, 압력 센서들 (예컨대, 마노미터들), 열전쌍들 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 이러한 센서들로부터의 데이터와 함께 사용되어 프로세스 조건들을 유지할 수 있다.
시스템 제어기 (3350) 는 상술한 성막 프로세스들을 구현하기 위한 프로그램을 제공할 수 있다. 프로그램 명령들은, DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수 있다. 명령들은 본 명세서에 기재된 다양한 실시형태들에 따라 막 스택들의 인 시튜 성막을 동작하기 위해 파라미터들을 제어할 수 있다.
상술한 다양한 하드웨어 및 방법 실시형태들은, 예를 들어 반도체 디바이스, 디스플레이, LED, 광기전 패널 등에 대하여, 리소그라피 패터닝 툴들 또는 프로세스들과 함께 사용될 수 있다. 일반적으로, 필수는 아니지만, 이러한 툴들/프로세스들은 통상의 제조 설비에서 함께 사용되거나 수행되게 된다.
일반적으로, 막의 리소그라피 패터닝은 다음의 단계들의 일부 또는 전부를 포함하며, 각 단계는 다수의 가능한 툴들에 의해 인에이블된다 : (1) 워크피스, 즉 기판 상에 스핀 온 또는 스프레이 온 툴을 사용하여 포토레지스트를 도포; (2) 핫 플레이트 또는 노 (furnace) 또는 다른 적절한 경화 툴을 사용하여 포토레지스트를 경화; (3) 웨이퍼 스텝퍼와 같은 툴에 의한 가시 또는 UV 또는 x - 레이 광에 대해 포토레지스트를 노광; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거함으로써 패터닝하도록 레지스트를 현상; (5) 건식 또는 플라즈마 보조 식각 툴을 사용함으로써 하부막 또는 워크피스에 레지스트 패턴을 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거. 일부 실시형태들에 있어서, 애싱가능한 하드 마스크층 (예컨대, 비정질 탄소층) 및 다른 적절한 하드 마스크 (예컨대, 반사방지층) 가 포토레지스트를 도포하기 전에 성막될 수 있다.
본 명세서에 기재된 구성들 및/또는 접근들은 사실상 예시이며, 이러한 특정 실시형태들 또는 예들은 많은 변형들이 가능하기 때문에, 제한적인 의미로 고려되지 않아야 함을 이해해야 한다. 본 명세서에 기재된 특정 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수 있다. 이로써, 도시되는 다양한 동작들은 도시된 순서로, 다른 순서들로, 동시에 또는 생략된 일부 경우들로 수행될 수 있다. 마찬가지로, 상술한 프로세스들의 순서는 변경될 수 있다.
본 개시물의 청구물은 다양한 프로세스들, 시스템들 및 구성들의 모든 신규하고 비자명한 결합들 및 서브결합들과, 본 명세서에 개시된 다른 피쳐들, 기능들, 동작들 및/또는 특성들 뿐만 아니라 그 모든 등가물들을 포함한다.

Claims (35)

  1. 플라즈마 강화 화학기상증착 장치에서 기판 상에 실리콘 함유막을 형성하는 방법에 있어서,
    상기 플라즈마 강화 화학기상증착 장치에 실리콘 함유 반응물을 공급하는 단계로서, 상기 실리콘 함유 반응물은 실란, 디실란, 할로겐 치환된 실란 및 알킬 치환된 실란으로 구성된 그룹으로부터 선택된 하나 이상의 반응물들을 포함하는, 상기 실리콘 함유 반응물을 공급하는 단계;
    상기 플라즈마 강화 화학기상증착 장치에 공반응물 (co-reactant) 을 공급하는 단계로서, 상기 공반응물은 NH3, N2O, CO, 및 CO2로 구성된 그룹으로부터 선택된 하나 이상의 공반응물들을 포함하는, 상기 공반응물을 공급하는 단계;
    상기 플라즈마 강화 화학기상증착 장치의 프로세스 스테이션에 용량 결합형 플라즈마를 공급하는 단계로서, 상기 플라즈마는 상기 실리콘 함유 반응물로부터 발생된 실리콘 함유 라디칼들 및 상기 공반응물로부터 발생된 공반응물 라디칼들을 포함하는, 상기 용량 결합형 플라즈마를 공급하는 단계; 및
    1.4 내지 2.1의 굴절률을 갖고 최대 3000Å의 증착된 막에 대해 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도 (roughness) 를 갖는 막을 형성하도록 구성된 프로세스 조건들 하에서, 상기 기판 상에 상기 실리콘 함유막을 증착하는 단계로서, 상기 프로세스 조건들은 상기 실리콘 함유 반응물의 양의 적어도 150 배의 양으로 상기 공반응물을 공급하는 것을 포함하는, 상기 실리콘 함유막을 증착하는 단계를 포함하는, 실리콘 함유막의 형성 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 함유막은 실리콘 이산화물막인, 실리콘 함유막의 형성 방법.
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서,
    상기 플라즈마는 2 x 1010 ions/cm3 미만의 이온 밀도를 갖는, 실리콘 함유막의 형성 방법.
  6. 삭제
  7. 제 1 항에 있어서,
    상기 기판에 플라즈마를 공급하는 것은 고주파 플라즈마를 발생하는 것을 포함하는, 실리콘 함유막의 형성 방법.
  8. 기판 상에 인시튜로 제 1 막 및 제 2 막을 포함하는 막 스택을 형성하는 방법에 있어서, 상기 제 1 막은 상기 제 2 막과 상이한 재료 조성을 가지며,
    상기 막 스택을 형성하는 방법은,
    제 1 막 증착 페이즈에서,
    제 1 반응물 가스 혼합물을 프로세스 스테이션에 공급하는 단계,
    상기 제 1 반응물 가스 혼합물을 사용하여 제 1 플라즈마를 유지하는 단계;
    상기 제 1 플라즈마에 의해, 상기 기판 상에 상기 제 1 막을 증착하는 단계; 및
    제 2 막 증착 페이즈에서, 그리고 진공 파괴를 개입시키지 (intervening) 않고,
    상기 프로세스 스테이션에 제 2 반응물 가스 혼합물을 공급하는 단계;
    상기 제 2 반응물 가스 혼합물을 사용하여 제 2 플라즈마를 유지하는 단계; 및
    상기 제 2 플라즈마에 의해, 상기 기판 상에 상기 제 2 막을 증착하는 단계를 포함하고,
    형성된 상기 막 스택의 절대 조도는 상기 제 1 막의 절대 조도의 90% 이하이고, 상기 제 2 막은 실리콘 함유 반응물 및 다른 가스나 가스들을 포함하는 프로세스 가스를 사용하여 10Å/초 미만의 증착 레이트로 증착되고, 상기 다른 가스나 가스들은 상기 실리콘 함유 반응물의 양의 적어도 150 배의 양으로 공급되는, 막 스택의 형성 방법.
  9. 제 8 항에 있어서,
    상기 제 1 막은 다결정질 실리콘막 및 비정질 실리콘막 중 하나이고,
    상기 제 2 막은 1.4 내지 2.1의 굴절률을 갖는 실리콘 함유막이고,
    상기 실리콘 함유막은 또한 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도를 갖는, 막 스택의 형성 방법.
  10. 제 9 항에 있어서,
    상기 제 1 막은 붕소 도펀트, 비소 도펀트 및 인 도펀트 중 하나를 포함하는, 막 스택의 형성 방법.
  11. 제 8 항에 있어서,
    상기 제 1 막은 실리콘 질화물막이고, 상기 제 2 막은 1.4 내지 2.1의 굴절률을 갖는 실리콘 함유막이며,
    상기 실리콘 함유막은 또한 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도를 갖는, 막 스택의 형성 방법.
  12. 제 8 항에 있어서,
    상기 제 2 막의 두께를 증가시키면 상기 제 1 막의 절대 조도에 대해 제 3 막의 절대 조도가 감소하고,
    상기 제 3 막은 상기 제 1 막 증착 페이즈 및 상기 제 2 막 증착 페이즈와 인 시튜로 제 3 막 증착 페이즈에서 증착되는, 막 스택의 형성 방법.
  13. 삭제
  14. 제 8 항에 있어서,
    상기 제 2 막 증착 페이즈는 용량 결합형 플라즈마 소스에 의해 상기 제 2 플라즈마를 발생시키는 단계를 포함하는, 막 스택의 형성 방법.
  15. 제 8 항에 있어서,
    상기 제 2 막 증착 페이즈는 8 torr 이하의 프로세스 스테이션 압력에서 상기 제 2 플라즈마를 발생시키는 단계를 포함하는, 막 스택의 형성 방법.
  16. 제 8 항에 있어서,
    상기 제 2 막 증착 페이즈는 상기 제 2 플라즈마에서 2 x 1010 ions/cm3 미만의 이온 밀도를 유지하는 단계를 포함하는, 막 스택의 형성 방법.
  17. 제 8 항에 있어서,
    상기 제 2 막 증착 페이즈는 상기 제 2 플라즈마에 비활성 가스를 공급하는 단계를 포함하는, 막 스택의 형성 방법.
  18. 제 8 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노광하는 단계;
    상기 포토레지스트를 패턴으로 패터닝하고 상기 패턴을 상기 기판에 전사하는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 막 스택의 형성 방법.
  19. 기판 상에 실리콘 함유막을 증착하도록 구성된 플라즈마 강화 화학기상증착 장치에 있어서,
    프로세스 스테이션;
    상기 프로세스 스테이션에 실리콘 함유 반응물을 공급하는 제 1 반응물 공급부;
    상기 프로세스 스테이션에 공반응물을 공급하는 제 2 반응물 공급부;
    용량 결합형 플라즈마 소스; 및
    1.4 내지 2.1의 굴절률을 갖고 최대 3000Å의 증착된 막에 대해 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도를 갖는 막을 증착하도록 구성된 프로세스 조건들 하에서, 플라즈마를 유지하도록 그리고 실리콘 함유막의 증착에 영향을 미치도록 상기 프로세스 스테이션의 하나 이상의 프로세스 파라미터들을 제어하도록 구성된 제어기를 포함하고,
    상기 제어기는 프로그램 명령들을 포함하고, 상기 명령들은,
    상기 플라즈마 강화 화학기상증착 장치로 상기 실리콘 함유 반응물의 흐름을 야기하는 명령으로서, 상기 실리콘 함유 반응물은 실란, 디실란, 할로겐 치환된 실란 및 알킬 치환된 실란으로 구성된 그룹으로부터 선택된 하나 이상의 반응물들을 포함하는, 상기 실리콘 함유 반응물의 흐름을 야기하는 명령;
    상기 실리콘 함유 반응물의 양의 적어도 150 배의 양으로 상기 플라즈마 강화 화학기상증착 장치로 상기 공반응물의 흐름을 야기하는 명령으로서, 상기 공반응물은 NH3, N2O, CO, 및 CO2로 구성된 그룹으로부터 선택된 하나 이상의 공반응물들을 포함하는, 상기 공반응물의 흐름을 야기하는 명령;
    상기 플라즈마 강화 화학기상증착 장치의 상기 프로세스 스테이션에 대해 용량 결합형 플라즈마의 발생을 야기하는 명령으로서, 상기 플라즈마는 상기 실리콘 함유 반응물로부터 발생된 실리콘 함유 라디칼들 및 상기 공반응물로부터 발생된 공반응물 라디칼들을 포함하는, 상기 플라즈마의 발생을 야기하는 명령; 및
    상기 기판 상의 상기 실리콘 함유막의 증착을 야기하는 명령을 포함하는, 플라즈마 강화 화학기상증착 장치.
  20. 제 19 항에 기재된 플라즈마 강화 화학기상증착 장치 및 스텝퍼 툴을 포함하는, 시스템.
  21. 제 19 항에 있어서,
    상기 프로세스 스테이션 내에 배치된 샤워 헤드를 더 포함하고,
    상기 샤워 헤드는 상기 기판에 프로세스 가스를 분배하도록 구성되고,
    상기 샤워 헤드는 NH3 소스, N2O 소스, CO 소스, CO2 소스, 실란 소스, 디실란 소스, 질소 소스, 히드라진 소스, 할로겐 치환된 실란 소스, 및 알킬 치환된 실란 소스 중 하나 이상에 유체 커플링되는, 플라즈마 강화 화학기상증착 장치.
  22. 플라즈마 강화 화학기상증착 장치에서 기판 상에 PECVD막을 형성하는 방법에 있어서,
    상기 플라즈마 강화 화학기상증착 장치에 실리콘 함유 반응물을 공급하는 단계;
    상기 플라즈마 강화 화학기상증착 장치에 공반응물을 공급하는 단계로서, 상기 공반응물은 환원 환경을 공급하는, 상기 공반응물을 공급하는 단계;
    상기 플라즈마 강화 화학기상증착 장치의 프로세스 스테이션에 용량 결합형 플라즈마를 공급하는 단계로서, 상기 용량 결합형 플라즈마는 상기 실리콘 함유 반응물로부터 발생된 실리콘 함유 라디칼들 및 상기 공반응물로부터 발생된 공반응물 라디칼들을 포함하는, 상기 용량 결합형 플라즈마를 공급하는 단계; 및
    최대 3000Å의 증착된 막에 대해 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도를 갖는 막을 생성하도록 구성된 프로세스 조건들 하에서, 상기 기판 상에 상기 PECVD막을 증착하는 단계를 포함하는, PECVD막의 형성 방법.
  23. 제 22 항에 있어서,
    상기 공반응물은 수소를 포함하는, PECVD막의 형성 방법.
  24. 제 22 항에 있어서,
    상기 PECVD막은 비정질 실리콘막인, PECVD막의 형성 방법.
  25. 제 22 항에 있어서,
    상기 PECVD막은 다결정질 실리콘막인, PECVD막의 형성 방법.
  26. 제 25 항에 있어서,
    상기 PECVD막은 도펀트를 포함하는, PECVD막의 형성 방법.
  27. 제 26 항에 있어서,
    상기 도펀트는 붕소, 비소 및 인 중 적어도 하나를 포함하는, PECVD막의 형성 방법.
  28. 제 22 항에 있어서,
    상기 PECVD막의 표면 재배열을 촉진하기 위해 DC 바이어스를 부가하는 단계를 더 포함하는, PECVD막의 형성 방법.
  29. 제 22 항에 있어서,
    상기 PECVD막의 표면 재배열을 촉진하기 위해 상기 PECVD막의 표면에 이온 충격을 가하는 단계를 더 포함하는, PECVD막의 형성 방법.
  30. 삭제
  31. 플라즈마 강화 화학기상증착 장치에서 기판 상에 PECVD 실리콘막을 형성하는 방법에 있어서,
    상기 방법은,
    상기 플라즈마 강화 화학기상증착 장치에 실리콘 함유 반응물을 공급하는 단계;
    평탄한 PECVD 실리콘막을 형성하기 위해 구성된 조건들 하에서, 상기 실리콘 함유 반응물의 양의 적어도 150 배의 양으로, 상기 플라즈마 강화 화학기상증착 장치에 헬륨, 아르곤, 및 수소로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 공급하는 단계;
    상기 플라즈마 강화 화학기상증착 장치의 프로세스 스테이션에 용량 결합형 플라즈마를 공급하는 단계로서, 상기 용량 결합형 플라즈마는 상기 실리콘 함유 반응물로부터 발생된 실리콘 라디칼들을 포함하는, 상기 용량 결합형 플라즈마를 공급하는 단계; 및
    최대 3000Å의 증착된 막에 대해 실리콘 기판 상에서 측정될 때 4.5Å 미만의 절대 조도를 갖는 막을 생성하도록 구성된 프로세스 조건들 하에서, 상기 PECVD 실리콘막을 증착하는 단계를 포함하는, PECVD 실리콘막의 형성 방법.
  32. 제 31 항에 있어서,
    상기 PECVD 실리콘막은 비정질 실리콘인, PECVD 실리콘막의 형성 방법.
  33. 제 31 항에 있어서,
    상기 PECVD 실리콘막은 다결정질 실리콘인, PECVD 실리콘막의 형성 방법.
  34. 제 31 항에 있어서,
    상기 PECVD 실리콘막은 도핑되고, 도펀트는 붕소, 비소 및 인 중 적어도 하나를 포함하는, PECVD 실리콘막의 형성 방법.
  35. 삭제
KR1020137009445A 2010-09-13 2011-08-09 평탄한 실리콘 함유막 KR101863388B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US38246810P 2010-09-13 2010-09-13
US38246510P 2010-09-13 2010-09-13
US61/382,465 2010-09-13
US61/382,468 2010-09-13
US39470710P 2010-10-19 2010-10-19
US61/394,707 2010-10-19
US12/970,853 US8709551B2 (en) 2010-03-25 2010-12-16 Smooth silicon-containing films
US12/970,853 2010-12-16
PCT/US2011/047107 WO2012036808A2 (en) 2010-09-13 2011-08-09 Smooth silicon-containing films

Publications (2)

Publication Number Publication Date
KR20130140671A KR20130140671A (ko) 2013-12-24
KR101863388B1 true KR101863388B1 (ko) 2018-05-31

Family

ID=45832156

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137009445A KR101863388B1 (ko) 2010-09-13 2011-08-09 평탄한 실리콘 함유막

Country Status (6)

Country Link
US (1) US8709551B2 (ko)
KR (1) KR101863388B1 (ko)
CN (1) CN103119692B (ko)
SG (1) SG188355A1 (ko)
TW (1) TWI547587B (ko)
WO (1) WO2012036808A2 (ko)

Families Citing this family (389)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
MY174446A (en) * 2010-06-25 2020-04-19 Petroliam Nasional Berhad Petronas A method and system for validating energy measurement in a high pressure gas distribution network
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR101904146B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
WO2013123143A1 (en) * 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) * 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US10059596B2 (en) 2013-05-20 2018-08-28 The Trustees Of Princeton University Hyperuniform and nearly hyperuniform random network materials
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101489306B1 (ko) * 2013-10-21 2015-02-11 주식회사 유진테크 어모퍼스 실리콘막의 증착 방법 및 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102275051B1 (ko) 2014-01-21 2021-07-07 어플라이드 머티어리얼스, 인코포레이티드 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
CN106558599B (zh) * 2015-09-29 2020-04-07 中芯国际集成电路制造(上海)有限公司 电阻随机存取存储器及其形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102418092B1 (ko) * 2016-03-11 2022-07-06 다이요 닛산 가부시키가이샤 실리콘 질화막의 제조 방법 및 실리콘 질화막
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106783543A (zh) * 2016-12-23 2017-05-31 武汉新芯集成电路制造有限公司 无定形硅的沉积方法和3d‑nand闪存的制作方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB201714646D0 (en) * 2017-09-12 2017-10-25 Spts Technologies Ltd Saw device and method of manufacture
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107779841B (zh) * 2017-10-18 2019-07-05 扬州乾照光电有限公司 一种SiO2薄膜的沉积方法以及基板
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10217626B1 (en) 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
TWI668536B (zh) * 2018-11-12 2019-08-11 巨擘科技股份有限公司 腕錶裝置及其操作方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN111139452B (zh) * 2020-01-21 2021-10-26 国家纳米科学中心 一种低温制备非晶硅膜材料的方法、得到的产品和用途
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112420871B (zh) * 2020-09-30 2021-07-20 无锡中科德芯光电感知技术研究院有限公司 台面型铟镓砷探测器芯片及其制备方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115876A1 (en) 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20080268176A1 (en) 2004-04-20 2008-10-30 Applied Materials, Inc. Method of improving the uniformity of PECVD-deposited thin films

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6066550A (en) * 1998-06-05 2000-05-23 United Integrated Circuits Corp. Method of improving selectivity between silicon nitride and silicon oxide
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
KR100299594B1 (ko) * 1998-07-13 2001-09-22 윤종용 디램 장치의 제조 방법
JP2000208422A (ja) 1999-01-08 2000-07-28 Sony Corp 積層膜形成方法及び薄膜製造装置
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR20040008527A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
JP4489618B2 (ja) * 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US7635651B2 (en) * 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US20070059942A1 (en) * 2005-09-09 2007-03-15 Chi Lin Technology Co., Ltd. Plasma cvd process for manufacturing multilayer anti-reflection coatings
KR20070094527A (ko) * 2006-03-17 2007-09-20 가부시키가이샤 에키쇼센탄 기쥬쓰 가이하쓰센타 결정화방법, 박막트랜지스터의 제조방법, 박막 트랜지스터,표시장치, 반도체장치
US20070264842A1 (en) * 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
JP2008124111A (ja) * 2006-11-09 2008-05-29 Nissin Electric Co Ltd プラズマcvd法によるシリコン系薄膜の形成方法
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20090159119A1 (en) * 2007-03-28 2009-06-25 Basol Bulent M Technique and apparatus for manufacturing flexible and moisture resistive photovoltaic modules
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US8013389B2 (en) * 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20110009762A (ko) * 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
CN102652186A (zh) * 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
WO2013123143A1 (en) 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
US9165788B2 (en) * 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115876A1 (en) 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20080268176A1 (en) 2004-04-20 2008-10-30 Applied Materials, Inc. Method of improving the uniformity of PECVD-deposited thin films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Carlos E. Viana, et al. Analysis of SiO2 Thin Films Deposited by PECVD Using an Oxygen-TEOS-Argon Mixture. Brazilian Journal of Physics. June, 2001, vol. 31, no. 2, pp. 299-303 (2001.06.30.)*

Also Published As

Publication number Publication date
WO2012036808A2 (en) 2012-03-22
US8709551B2 (en) 2014-04-29
CN103119692A (zh) 2013-05-22
US20110236600A1 (en) 2011-09-29
SG188355A1 (en) 2013-04-30
TW201219595A (en) 2012-05-16
TWI547587B (zh) 2016-09-01
KR20130140671A (ko) 2013-12-24
WO2012036808A3 (en) 2012-05-31
CN103119692B (zh) 2016-10-19

Similar Documents

Publication Publication Date Title
KR101863388B1 (ko) 평탄한 실리콘 함유막
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
KR102330184B1 (ko) 평탄한 실리콘막들의 pecvd 성막
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR102247537B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
KR20220038640A (ko) 갭충진을 위한 컨포멀 막 증착
KR20160011149A (ko) 실리콘 옥사이드를 증착하기 위한 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant